Publications

Publications HAL du labo/EPI cairn

2022

Theses

titre
Spéculation temporelle pour accélérateurs matériels
auteur
Thibaut Marty
article
Architectures Matérielles [cs.AR]. Université de Rennes 1, 2022. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-03925783/file/ThibautMartyVersionFinale.pdf BibTex

2021

Journal articles

titre
Improving the CubeSat Reliability Thanks to a Multiprocessor System using Fault Tolerant Online Scheduling
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
Microprocessors and Microsystems: Embedded Hardware Design , 2021, 85, pp.1-12. ⟨10.1016/j.micpro.2021.104312⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03317768/file/Microprocessors_Microsystems_v_HAL.pdf BibTex
titre
An Optimal Algorithm for Enumerating Connected Convex Subgraphs in Acyclic Digraphs
auteur
Chenglong Xiao, Shanshan Wang, Wanjun Liu, Xinlin Wang, Emmanuel Casseau
article
IEEE Transactions on Circuits and Systems II: Express Briefs, 2021, 68 (1), pp.261-265. ⟨10.1109/TCSII.2020.3000297⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02884025/file/TCAS-II_Hal_Inria.pdf BibTex
titre
Anticompetitive practices in the emerging mobile money services market
auteur
Vanelle Dimitri Onchi Ngongang
article
Revue internationale de droit économique, 2021
Accès au bibtex
BibTex

Conference papers

titre
Special Session: Operating Systems under test: an overview of the significance of the operating system in the resiliency of the computing continuum
auteur
Emmanuel Casseau, Petr Dobias, Oliver Sinnen, Gennaro Rodrigues, Fernanda Kastensmidt, Alessandro Savino, Stefano Di Carlo, Maurizio Rebaudengo, Alberto Bosio
article
VTS 2021 – 39th IEEE VLSI Test Symposium, Apr 2021, San Diego, United States. pp.1-10, ⟨10.1109/VTS50974.2021.9441042⟩
Accès au bibtex
BibTex

Proceedings

titre
Applied Reconfigurable Computing. Architectures, Tools, and Applications
auteur
Daniel Chillet, Steven Derrien, Frank Hannig, Pedro C. Diniz
article
ARC 2021 – 17th International Symposium on Applied Reconfigurable Computing. Architectures, Tools, and Applications, 12700, Springer, pp.1-338, 2021, LNCS, 978-3-030-79025-7. ⟨10.1007/978-3-030-79025-7⟩
Accès au bibtex
BibTex

Reports

titre
Comparison of Enhancing Methods for Primary/Backup Approach Meant for Fault Tolerant Scheduling
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
[Research Report] Univ Rennes, Inria, CNRS, IRISA, France. 2021
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03405142/file/PC_BC_approaches_Petr_HAL.pdf BibTex

2020

Journal articles

titre
Binary Tree Classification of Rigid Error Detection and Correction Techniques
auteur
Angeliki Kritikakou, Rafail Psiakis, Francky Catthoor, Olivier Sentieys
article
ACM Computing Surveys, 2020, 53 (4), pp.1-38. ⟨10.1145/3397268⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02927439/file/Binder.pdf BibTex
titre
Application-specific arithmetic in high-level synthesis tools
auteur
Yohann Uguen, Florent de Dinechin, Victor Lezaud, Steven Derrien
article
ACM Transactions on Architecture and Code Optimization, 2020, ⟨10.1145/3377403⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02423363/file/hal-hls-arith-v2.pdf BibTex
titre
Safe Overclocking for CNN Accelerators through Algorithm-Level Error Detection
auteur
Thibaut Marty, Tomofumi Yuki, Steven Derrien
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020, 39 (12), pp.4777 – 4790. ⟨10.1109/TCAD.2020.2981056⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03094811/file/FINAL%20VERSION.pdf BibTex
titre
Toward Speculative Loop Pipelining for High-Level Synthesis
auteur
Steven Derrien, Thibaut Marty, Simon Rokicki, Tomofumi Yuki
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020, 39 (11), pp.4229 – 4239. ⟨10.1109/TCAD.2020.3012866⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02949516/file/Cases2020_R2.pdf BibTex
titre
Energy-driven design space exploration of tiling-based accelerators for heterogeneous multiprocessor architectures
auteur
Baptiste Roux, Matthieu Gautier, Olivier Sentieys, Jean-Philippe Delahaye
article
Microprocessors and Microsystems: Embedded Hardware Design , 2020, 77, pp.1-12. ⟨10.1016/j.micpro.2020.103138⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02747622/file/edDES_jan20.pdf BibTex

Conference papers

titre
Min/max time limits and energy penalty of communication scheduling in ring-based ONoC
auteur
Joel Ortiz Sosa, Cedric Killian, Hamza Ben Ammar, Daniel Chillet
article
NoCArc 2020 – 13th IEEE/ACM International Workshop on Network on Chip Architectures, Oct 2020, On-line, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03032687/file/mainV4%20V%20HAL.pdf BibTex
titre
Multiple Permanent Faults Mitigation Through Bit-Shuffling for Network-on-Chip Architecture
auteur
Romain Mercier, Cédric Killian, Angeliki Kritikakou, Youri Helen, Daniel Chillet
article
ICCD 2020 – IEEE International Conference on Computer Design, Oct 2020, Hartford / Virtual, United States. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03039545/file/Mercier_BitShuffling_ShortArticle.pdf BibTex
titre
Progress-aware Dynamic Slack Exploitation in Mixed-critical Systems: Work-in-Progress
auteur
Angeliki Kritikakou, Stefanos Skalistis
article
EMSOFT 2020 – International Conference on Embedded Software, Sep 2020, Hamburg / Virtual, Germany. pp.1-3
Accès au texte intégral et bibtex
https://hal.science/hal-03125812/file/WiP_EMSOFT.pdf BibTex
titre
MASCARA (ModulAr Semantic CAching fRAmework) towards FPGA acceleration for IoT Security monitoring
auteur
van Long Nguyen Huu, Julien Lallet, Emmanuel Casseau, Laurent d’Orazio
article
VLIoT 2020 – International Workshop on Very Large Internet of Things, Sep 2020, Tokyo, Japan. pp.14-23
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03017402/file/paper_VLIoT_HAL.pdf BibTex
titre
Evaluation of the Fault-Tolerant Online Scheduling Algorithms for CubeSats
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
DSD 2020 – 23rd EUROMICRO Conference on Digital System Design, Aug 2020, Portoroz, Slovenia. pp.1-11
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02927553/file/2020_DSD_v_HAL.pdf BibTex
titre
Dynamic Interference-Sensitive Run-time Adaptation of Time-Triggered Schedules
auteur
Stefanos Skalistis, Angeliki Kritikakou
article
ECRTS 2020 – 32nd Euromicro Conference on Real-Time Systems, Jul 2020, Virtual, France. pp.1-22, ⟨10.4230/LIPIcs.ECRTS.2020.4⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02927451/file/LIPIcs-ECRTS-2020-4.pdf BibTex
titre
Attack detection through monitoring of timing deviations in embedded real-time systems
auteur
Nicolas Bellec, Simon Rokicki, Isabelle Puaut
article
ECRTS 2020 – 32nd Euromicro Conference on Real-Time Systems, Jul 2020, Modena, Italy. pp.1-22, ⟨10.4230/LIPIcs.ECRTS.2020.8⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02559549/file/ECRTS_2020_paper.pdf BibTex
titre
Fast Cross-Layer Vulnerability Analysis of Complex Hardware Designs
auteur
Joseph Paturel, Angeliki Kritikakou, Olivier Sentieys
article
ISVLSI 2020 – IEEE Computer Society Annual Symposium on VLSI, Jul 2020, Limassol, Cyprus. pp.328-333, ⟨10.1109/ISVLSI49217.2020.00067⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02927455/file/ISVLSI_2020_Fast_cross_layer_vulnerability_analysis%281%29.pdf BibTex
titre
Energy-aware Partial-Duplication Task Mapping under Real-Time and Reliability Constraints
auteur
Minyu Cui, Lei Mo, Angeliki Kritikakou, Emmanuel Casseau
article
SAMOS 2020 – International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, Jul 2020, Samos / Virtual, Greece
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02927474/file/Energy_aware_Duplication_Based_Task_Mapping___SAMOS.pdf BibTex
titre
GhostBusters: Mitigating Spectre Attacks on a DBT-Based Processor
auteur
Simon Rokicki
article
DATE 2020 – 23rd IEEE/ACM Design, Automation and Test in Europe, Mar 2020, Grenoble, France. pp.1-6
Accès au texte intégral et bibtex
https://hal.science/hal-02396631/file/ghostbusters_date20.pdf BibTex
titre
Towards Generic and Scalable Word-Length Optimization
auteur
Van-Phu Ha, Tomofumi Yuki, Olivier Sentieys
article
DATE 2020 – 23rd IEEE/ACM Design, Automation and Test in Europe, Mar 2020, Grenoble, France. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02387232/file/date2020.pdf BibTex
titre
Fast Kriging-based Error Evaluation for Approximate Computing Systems
auteur
Justine Bonnot, Daniel Menard, Karol Desnos
article
Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2020, Grenoble, France
Accès au texte intégral et bibtex
https://hal.science/hal-02468086/file/datePlan.pdf BibTex
titre
Fault-Tolerant Online Scheduling Algorithms for CubeSats
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
PARMA-DITAM’20 – 11th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architecture, 9th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, Jan 2020, Bologna, Italy
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02461164/file/2020_PARMA_DITAM.pdf BibTex

Theses

titre
Conception d’un émetteur-récepteur numérique pour les réseaux sur puce sans fil
auteur
Joel Ortiz Sosa
article
Systèmes embarqués. Université de Rennes 1 (UR1), 2020. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03120129/file/Thesis_Joel_z.pdf BibTex
titre
Frequent itemset sampling of high throughput streams on FPGA accelerators
auteur
Maël Gueguen
article
Embedded Systems. Université Rennes 1, 2020. English. ⟨NNT : 2020REN1S053⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03120148/file/GUEGUEN_Mael.pdf BibTex
titre
Online fault tolerant task scheduling for real-time multiprocessor embedded systems
auteur
Petr Dobiáš
article
Embedded Systems. Université Rennes 1, 2020. English. ⟨NNT : 2020REN1S024⟩
Accès au texte intégral et bibtex
https://hal.science/tel-03016351/file/DOBIAS_Petr.pdf BibTex

2019

Journal articles

titre
Generation of Finely-Pipelined GF(P ) Multipliers for Flexible Curve based Cryptography on FPGAs
auteur
Gabriel Gallin, Arnaud Tisserand
article
IEEE Transactions on Computers, 2019, 68 (11), pp.1612-1622. ⟨10.1109/TC.2019.2920352⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02141260/file/tc-htmm.pdf BibTex
titre
Worst-Case Execution-Time-Aware Parallelization of Model-Based Avionics Applications
auteur
Simon Reder, Fabian Kempf, Harald Bucher, Jurgen Becker, Panayiotis Alefragis, Nikolaos S. Voros, Stefanos Skalistis, Steven Derrien, Isabelle Puaut, Oliver Oey, Timo Stripf, Christian Ferdinand, Clément David, Peer Ulbig, David Mueller, Umut Durak
article
Journal of Aerospace Information Systems, 2019, 16 (11), pp.521-533. ⟨10.2514/1.I010749⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02383381/file/ARGO_JAIS_preprint.pdf BibTex
titre
Energy-Aware Multiple Mobile Chargers Coordination for Wireless Rechargeable Sensor Networks
auteur
Lei Mo, Angeliki Kritikakou, Shibo He
article
IEEE Internet of Things Journal, 2019, pp.1-13. ⟨10.1109/JIOT.2019.2918837⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02140283/file/bare_jrnl.pdf BibTex
titre
Event-Driven Joint Mobile Actuators Scheduling and Control in Cyber-Physical Systems
auteur
Lei Mo, Pengcheng You, Xianghui Cao, Ye-Qiong Song, Angeliki Kritikakou
article
IEEE Transactions on Industrial Informatics, 2019, pp.1-13. ⟨10.1109/TII.2019.2906061⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02080647/file/08673870.pdf BibTex
titre
Smooth random functions, random ODEs, and Gaussian processes
auteur
Silviu-Ioan Filip, Aurya Javeed, Lloyd Nicholas Trefethen
article
SIAM Review, 2019, 61 (1), pp.185-205. ⟨10.1137/17M1161853⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01944992/file/random_revision2.pdf BibTex
titre
Mapping Imprecise Computation Tasks on Cyber-Physical Systems
auteur
Lei Mo, Angeliki Kritikakou
article
Peer-to-Peer Networking and Applications, 2019, pp.1726-1740. ⟨10.1007/s12083-019-00749-9⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02397099/file/P2P_Networking_and_Applications.pdf BibTex

Conference papers

titre
Timely Fine-grained Interference-sensitive Run-time Adaptation of Time-triggered Schedules
auteur
Stefanos Skalistis, Angeliki Kritikakou
article
RTSS 2019 – 40th IEEE Real-Time Systems Symposium, Dec 2019, Hong Kong, China. pp.1-13
Accès au texte intégral et bibtex
https://hal.science/hal-02316392/file/Timely_Fine_grained_Interference_sensitive_Run_time_Adaptation_of_Time_triggered_Schedules.pdf BibTex
titre
Reconciling Compiler Optimizations and WCET Estimation Using Iterative Compilation
auteur
Mickaël Dardaillon, Stefanos Skalistis, Isabelle Puaut, Steven Derrien
article
RTSS 2019 – 40th IEEE Real-Time Systems Symposium, Dec 2019, Hong Kong, China. pp.1-13
Accès au texte intégral et bibtex
https://hal.science/hal-02286164/file/RTSS_2019.pdf BibTex
titre
What You Simulate Is What You Synthesize: Designing a Processor Core from C++ Specifications
auteur
Simon Rokicki, Davide Pala, Joseph Paturel, Olivier Sentieys
article
ICCAD 2019 – 38th IEEE/ACM International Conference on Computer-Aided Design, Nov 2019, Westminster, CO, United States. pp.1-8
Accès au texte intégral et bibtex
https://hal.science/hal-02303453/file/comet_hal.pdf BibTex
titre
Multi-Carrier Spread-Spectrum Transceiver for WiNoC
auteur
Joel Ortiz Sosa, Olivier Sentieys, Christian Roland, Cédric Killian
article
NOCS 2019 – 13th IEEE/ACM International Symposium on Networks-on-Chip, Oct 2019, New York, United States. pp.1-2, ⟨10.1145/3313231.3352373⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02394890/file/PosterPaper_NoCs2019.pdf BibTex
titre
Approximate nanophotonic interconnects
auteur
Jaechul Lee, Cédric Killian, Sébastien Le Beux, Daniel Chillet
article
NOCS 2019 – 13th IEEE/ACM International Symposium on Networks-on-Chip, Oct 2019, New York, United States. pp.1-7, ⟨10.1145/3313231.3352365⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02341667/file/Approx_ONoC_for_HAL.pdf BibTex
titre
Run-time Coarse-Grained Hardware Mitigation for Multiple Faults on VLIW Processors
auteur
Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys, Emmanuel Casseau
article
DASIP 2019 – Conference on Design and Architectures for Signal and Image Processing, Oct 2019, Montréal, Canada. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02344282/file/DASIP.pdf BibTex
titre
Designing Application-Specific Heterogeneous Architectures from Performance Models
auteur
Thanh Cong, François Charot
article
MCSoC 2019 – IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, Oct 2019, Singapore, Singapore. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02289868/file/Cong_MCSoC2019.pdf BibTex
titre
Exploration architecturale d’accélérateur pour des architectures multi-coeurs hétérogènes
auteur
Baptiste Roux, Matthieu Gautier, Olivier Sentieys
article
27ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Aug 2019, Lille, France
Accès au texte intégral et bibtex
https://hal.science/hal-02406976/file/roux19gretsi.pdf BibTex
titre
Error Analysis of the Square Root Operation for the Purpose of Precision Tuning: a Case Study on K-means
auteur
Oumaima Matoussi, Yves Durand, Olivier Sentieys, Anca Molnos
article
ASAP 2019 – 30th IEEE International Conference on Application-specific Systems, Architectures and Processors, Jul 2019, New York, United States. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02183945/file/ASAP_kmeans_final.pdf BibTex
titre
Adaptive Transceiver for Wireless NoC to Enhance Multicast/Unicast Communication Scenarios
auteur
Joel Ortiz Sosa, Olivier Sentieys, Christian Roland
article
ISVLSI 2019 – IEEE Computer Society Annual Symposium on VLSI, Jul 2019, Miami, United States. pp.1-6, ⟨10.1109/ISVLSI.2019.00111⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02394902/file/ISVLSI19Joel.pdf BibTex
titre
Hiding Communication Delays in Contention-Free Execution for SPM-Based Multi-Core Architectures
auteur
Benjamin Rouxel, Stefanos Skalistis, Steven Derrien, Isabelle Puaut
article
ECRTS 2019 – 31st Euromicro Conference on Real-Time Systems, Jul 2019, Stuttgart, Germany. pp.1-24, ⟨10.4230/LIPIcs.ECRTS.2019.25⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02190271/file/LIPIcs-ECRTS-2019-25.pdf BibTex
titre
Sparse computation data dependence simplification for efficient compiler-generated inspectors
auteur
Mahdi Soltan Mohammadi, Michelle Mills Strout, Tomofumi Yuki, Kazem Cheshmi, Eddie Davis, Mary Hall, Maryam Mehri Dehnavi, Payal Nandy, Catherine Olschanowsky, Anand Venkat
article
PLDI 2019 – 40th ACM SIGPLAN Conference on Programming Language Design and Implementation, Jun 2019, Phoenix, United States. pp.594-609, ⟨10.1145/3314221.3314646⟩
Accès au bibtex
BibTex
titre
What You Simulate Is What You Synthesize: Design of a RISC-V Core from C++ Specifications
auteur
Simon Rokicki, Davide Pala, Joseph Paturel, Olivier Sentieys
article
RISC-V Workshop 2019, Jun 2019, Zurich, Switzerland. pp.1-2
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02394911/file/main.pdf BibTex
titre
Table-Based versus Shift-And-Add constant multipliers for FPGAs
auteur
Florent de Dinechin, Silviu-Ioan Filip, Luc Forget, Martin Kumm
article
ARITH 2019 – 26th IEEE Symposium on Computer Arithmetic, Jun 2019, Kyoto, Japan. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02147078/file/2019-TruncatedCM.pdf BibTex
titre
Playing with number for Energy Efficiency, Introduction to Approximate Computing
auteur
Olivier Sentieys
article
INC 2019 – IEEE International Nanodevices and Computing, Apr 2019, Grenoble, France
Accès au bibtex
BibTex
titre
Noise Budgeting in Multiple-Kernel Word-Length Optimization
auteur
Van-Phu Ha, Tomofumi Yuki, Olivier Sentieys
article
AxC 2019 – 4th Workshop on Approximate Computing, Mar 2019, Florence, Italy. pp.1-3
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02183936/file/AxC19_paper_7.pdf BibTex
titre
Aggressive Memory Speculation in HW/SW Co-Designed Machines
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
DATE 2019 – 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.332-335, ⟨10.23919/DATE.2019.8715010⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01941876/file/rokicki_DATE_19_short.pdf BibTex
titre
Accelerating Itemset Sampling using Satisfiability Constraints on FPGA
auteur
Mael Gueguen, Olivier Sentieys, Alexandre Termier
article
DATE 2019 – 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.1046-1051, ⟨10.23919/DATE.2019.8714932⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941862/file/main.pdf BibTex
titre
Fine-Grained Hardware Mitigation for Multiple Long-Duration Transients on VLIW Function Units
auteur
Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys
article
DATE 2019 – 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.976-979, ⟨10.23919/DATE.2019.8714899⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941860/file/DATE2019_RAFAIL_v3.pdf BibTex
titre
Approximation-aware Task Deployment on Asymmetric Multicore Processors
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
DATE 2019 – 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.1513-1518, ⟨10.23919/DATE.2019.8715077⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01940358/file/Mo18DATE.pdf BibTex
titre
Improving NILM by Combining Sensor Data and Linear Programming
auteur
Nicolas Roux, Baptiste Vrigneau, Olivier Sentieys
article
SAS 2019 – IEEE Sensors Applications Symposium, Mar 2019, Sophia Antipolis, France. pp.1-6, ⟨10.1109/SAS.2019.8706021⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02394920/file/SAS19Roux.pdf BibTex
titre
The Limit of Polynomials: Implications of Handelman’s Theorem for Exploring Schedules
auteur
Tomofumi Yuki
article
IMPACT 2019 – 9th International Workshop on Polyhedral Compilation Techniques, Jan 2019, Valencia, Spain. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02397043/file/yuki-IMPACT2019.pdf BibTex

Book sections

titre
Fixed-point refinement of digital signal processing systems
auteur
Daniel Menard, Gabriel Caffarena, Juan Antonio Lopez, David Novo, Olivier Sentieys
article
Digitally Enhanced Mixed Signal Systems, Chapter 1, The Institution of Engineering and Technology, pp.1-37, 2019, 978-1-78561-609-9. ⟨10.1049/PBCS040E_ch⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941898/file/FixedPointRefinement.pdf BibTex
titre
Analysis of Finite Word-Length Effects in Fixed-Point Systems
auteur
Daniel Ménard, Gabriel Caffarena, Juan Antonio Lopez, David Novo, Olivier Sentieys
article
Shuvra S. Bhattacharyya. Handbook of Signal Processing Systems, pp.1063-1101, 2019, 978-3-319-91733-7. ⟨10.1007/978-3-319-91734-4_29⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941888/file/Analysis%20of%20Finite%20Word-Length%20Effects%20in%20Fixed-Point%20Systems.pdf BibTex

Poster communications

titre
Hybrid-DBT: Hardware Accelerated Dynamic Binary Translation
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
RISC-V 2019 – Workshop Zurich, Jun 2019, Zurich, Switzerland. pp.1
Accès au texte intégral et bibtex
https://hal.science/hal-02155019/file/Rokicki-Simon-CAIRN-45922.pdf BibTex

Documents associated with scientific events

titre
A Comprehensive Analysis of Approximate Computing Techniques: From Component- to Application-Level
auteur
Alberto Bosio, Daniel Menard, Olivier Sentieys
article
DATE 2019 – 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.1-5
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941757/file/DATE%202019%20Proposal.pdf BibTex

Theses

titre
Support logiciel robuste aux attaques passives et actives pour l’arithmétique de la cryptographie asymétrique sur des (très) petits cœurs de calcul
auteur
Audrey Lucas
article
Cryptographie et sécurité [cs.CR]. Université Rennes 1, 2019. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://hal.science/tel-02425706/file/These_Lucas_Audrey.pdf BibTex
titre
Support logiciel robuste aux attaques passives et actives pour l’arithmétique de la cryptographie asymétrique sur des (très) petits coeurs de calcul
auteur
Audrey Lucas
article
Cryptographie et sécurité [cs.CR]. Université de Rennes, 2019. Français. ⟨NNT : 2019REN1S070⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02519510/file/LUCAS_Audrey.pdf BibTex

2018

Journal articles

titre
New metric for IQ imbalance compensation in optical QPSK coherent systems
auteur
Trung Hien Nguyen, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Christophe Peucheret, Fausto Gomez Agis, Olivier Sentieys, Jean-Claude Simon, Michel Joindot
article
Photonic Network Communications, 2018, 36 (3), pp.326-337. ⟨10.1007/s11107-018-0783-7⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941892/file/PNET_author_version.pdf BibTex
titre
Hybrid-DBT: Hardware/Software Dynamic Binary Translation Targeting VLIW
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, pp.1-14. ⟨10.1109/TCAD.2018.2864288⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01856163/file/rokicki_TCAD.pdf BibTex
titre
Rational Minimax Approximation via Adaptive Barycentric Representations
auteur
Silviu-Ioan Filip, Yuji Nakatsukasa, Lloyd Nicholas Trefethen, Bernhard Beckermann
article
SIAM Journal on Scientific Computing, 2018, 40 (4), pp.A2427-A2455
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01942974/file/remezR2_arxiv.pdf BibTex
titre
Offline Optimization of Wavelength Allocation and Laser Power in Nanophotonic Interconnects
auteur
Jiating Luo, Cédric Killian, Sébastien Le Beux, Daniel Chillet, Olivier Sentieys, Ian O’Connor
article
ACM Journal on Emerging Technologies in Computing Systems, 2018, 14 (2), pp.1 – 19. ⟨10.1145/3178453⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01934870/file/Main_doc%20%281%29.pdf BibTex
titre
Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, pp.1 – 10. ⟨10.1109/TCAD.2018.2857300⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01843918/file/8412529.pdf BibTex
titre
Controllable QoS for Imprecise Computation Tasks on DVFS Multicores with Time and Energy Constraints
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2018, 8 (4), pp.708-721. ⟨10.1109/JETCAS.2018.2852005⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01831297/file/08401498.pdf BibTex
titre
Energy-Efficient Scheduling of Real-Time Tasks in Reconfigurable Homogeneous Multi-core Platforms
auteur
Aymen Gammoudi, Adel Benzina, Mohamed Khalgui, Daniel Chillet
article
IEEE Transactions on Systems, Man, and Cybernetics: Systems, 2018, pp.1 – 14. ⟨10.1109/TSMC.2018.2865965⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01934955/file/TSMC%202018%20Pour%20Hal.pdf BibTex
titre
Distributed Node Coordination for Real-Time Energy-Constrained Control in Wireless Sensor and Actuator Networks
auteur
Lei Mo, Xianghui Cao, Ye-Qiong Song, Angeliki Kritikakou
article
IEEE Internet of Things Journal, 2018, pp.1-12. ⟨10.1109/JIOT.2018.2839030⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01825524/file/08361405.pdf BibTex
titre
DYNASCORE: DYNAmic Software COntroller to increase REsource utilization in mixed-critical systems
auteur
Angeliki Kritikakou, Thibaut Marty, Matthieu Roy
article
ACM Transactions on Design Automation of Electronic Systems, 2018, 23 (2), pp.art ID n°13. ⟨10.1145/3110222⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01559696/file/DYNAMIC_final.pdf BibTex

Conference papers

titre
Enabling Overclocking with HLS Tools through Algorithm-Level Error Detection
auteur
Thibaut Marty, Tomofumi Yuki, Steven Derrien
article
FPT 2018 – International Conference on Field-Programmable Technology, Dec 2018, Naha, Japan
Accès au bibtex
BibTex
titre
Run-Time management of energy-performance trade-off in Optical Network-on-Chip
auteur
Jiating Luo, Van-Dung Pham, Cédric Killian, Daniel Chillet, Ian O’Connor, Olivier Sentieys, Sébastien Le Beux
article
DCIS 2018 – XXXIII Conference on Design of Circuits and Integrated Systems, Nov 2018, Lyon, France. pp.1-6, ⟨10.1109/DCIS.2018.8681477⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01937350/file/DCS_2018_Dynamic_tradeoff.pdf BibTex
titre
Extending Index-Array Properties for Data Dependence Analysis
auteur
Mahdi Soltan Mohammadi, Kazem Cheshmi, Maryam Mehri Dehnavi, Anand Venkat, Tomofumi Yuki, Michelle Mills Strout
article
LCPC 2018 – 31st International Workshop on Languages and Compilers for Parallel Computing, Oct 2018, Salt Lake City, United States
Accès au bibtex
BibTex
titre
Comparison of Different Methods Making Use of Backup Copies for Fault-Tolerant Scheduling on Embedded Multiprocessor Systems
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
DASIP 2018 – Conference on Design and Architectures for Signal and Image Processing, Oct 2018, Porto, Portugal. pp.1-7
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01942186/file/DASIP_2018_v_Hal.pdf BibTex
titre
A Diversity Scheme to Enhance the Reliability of Wireless NoC in Multipath Channel Environment
auteur
Joel Ortiz Sosa, Olivier Sentieys, Christian Roland
article
Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Oct 2018, Torino, Italy. pp.1-8, ⟨10.1109/NOCS.2018.8512165⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941761/file/A%20Diversity%20Scheme%20to%20Enhance%20the%20Reliability%20of%20Wireless%20NoC%20in%20Multipath%20%20Channel%20Environment.pdf BibTex
titre
Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
ESWEEK 2018 – Embedded Systems Week, Sep 2018, Torino, Italy. pp.1-11
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941764/file/Energy-Quality-Time%20Optimized%20Task%20Mapping%20on%20DVFS-enabled%20Multicores.pdf BibTex
titre
Collaborative State Estimation and Actuator Scheduling for Cyber-Physical Systems under Random Multiple Events
auteur
Lei Mo, Angeliki Kritikakou, Xianghui Cao
article
AdHoc-Now 2018 – 17th International Conference on Ad Hoc Networks and Wireless, Sep 2018, Saint Malo, France. pp.267-279, ⟨10.1007/978-3-030-00247-3_24⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01857496/file/AdHocNow2018_019_original_v3.pdf BibTex
titre
Microcontroller Implementation of Simultaneous Protections Against Observation and Perturbation Attacks for ECC
auteur
Audrey Lucas, Arnaud Tisserand
article
SECRYPT: 15th International Conference on Security and Cryptography, Jul 2018, Porto, Portugal. pp.1-8, ⟨10.5220/0006884605700577⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01826303/file/Microcontroller_Implementation_of_Simultaneous_Protections_Against_SCA_and_FA_for_ECC.pdf BibTex
titre
Evaluation of Approximate Operators Case Study: Sobel Filter Application Executed on an Approximate RISC-V Platform
auteur
Geneviève Ndour, Tiago Trevisan Jost, Anca Molnos, Yves Durand, Arnaud Tisserand
article
SAMOS: 18th International Conference on Embedded Computer Systems: Architectures, Modeling and Simlation, Jul 2018, Pythagorion, Greece. pp.146-149
Accès au texte intégral et bibtex
https://hal.science/hal-02055464/file/SAMOS.pdf BibTex
titre
Fine-Grain Iterative Compilation for WCET Estimation
auteur
Isabelle Puaut, Mickaël Dardaillon, Christoph Cullmann, Gernot Gebhard, Steven Derrien
article
WCET 2018 – 18th International Workshop on Worst-Case Execution Time Analysis, Jul 2018, Barcelona, Spain. pp.1-12, ⟨10.4230/OASIcs.WCET.2018.9⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01889944/file/WCET_2018.pdf BibTex
titre
A High Throughput Polynomial and Rational Function Approximations Evaluator
auteur
Nicolas Brisebarre, George Constantinides, Miloš Ercegovac, Silviu-Ioan Filip, Matei Istoan, Jean-Michel Muller
article
ARITH 2018 – 25th IEEE Symposium on Computer Arithmetic, Jun 2018, Amherst, MA, United States. pp.99-106, ⟨10.1109/ARITH.2018.8464778⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01774364/file/emet_rr.pdf BibTex
titre
A Simulator for Evaluating the Leakage in Arithmetic Circuits
auteur
Audrey Lucas
article
CryptArchi 2018 – International Workshop on Cryptographic architectures embedded in logic devices, Jun 2018, Lorient, France. pp.1-24
Accès au texte intégral et bibtex
https://hal.science/hal-01841048/file/lucas.pdf BibTex
titre
Restricted Scheduling Windows for Dynamic Fault-Tolerant Primary/Backup Approach-Based Scheduling on Embedded Systems
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
SCOPES ’18 – 21th International Workshop on Software and Compilers for Embedded Systems, May 2018, Sankt Goar, Germany. pp.27-30, ⟨10.1145/3207719.3207724⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01942185/file/SCOPES_2018_v_Hal.pdf BibTex
titre
Zyggie: A Wireless Body Area Network platform for indoor positioning and motion tracking
auteur
Antoine Courtay, Mickaël Le Gentil, Olivier Berder, Arnaud Carer, Pascal Scalart, Olivier Sentieys
article
ISCAS 2018 – IEEE International Symposium on Circuits and Systems, May 2018, Florence, Italy. pp.1-5, ⟨10.1109/ISCAS.2018.8351278⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01804927/file/ISCAS_2018%281%29.pdf BibTex
titre
Mapping of Periodic Tasks in Reconfigurable Heterogeneous Multi-core Platforms
auteur
Aymen Gammoudi, Daniel Chillet, Mohamed Khalgui, Adel Benzina
article
ENASE 2018 – 13th International Conference on Evaluation of Novel Approaches to Software Engineering, Mar 2018, Funchal, Portugal. pp.99-110, ⟨10.5220/0006698500990110⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01936163/file/ENASE2018%20Pour%20Hal.pdf BibTex
titre
Using Polyhedral Techniques to Tighten WCET Estimates of Optimized Code: A Case Study with Array Contraction
auteur
Thomas Lefeuvre, Emin Koray Kasnakli, Imen Fassi, Isabelle Puaut, Christoph Cullmann, Steven Derrien, Gernot Gebhard
article
DATE 2018 – Design Automation and Test Europe, Mar 2018, Dresden, Germany. pp.925-930, ⟨10.23919/DATE.2018.8342142⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01815499/file/DATE_2018.pdf BibTex
titre
Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
DATE 2018 – IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition, Mar 2018, Dresden, Germany. pp.1009-1014, ⟨10.23919/DATE.2018.8342160⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01653110/file/rokicki_18_hal.pdf BibTex

Book sections

titre
Imprecise Computation Task Mapping on Multi-Core Wireless Sensor Networks
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
Encyclopedia of Wireless Networks, pp.1 – 6, In press, 978-3-319-32903-1. ⟨10.1007/978-3-319-32903-1_261-1⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01900174/file/author.pdf BibTex

Poster communications

titre
The INRIA ZEP project: NVRAM and Harvesting for Zero Power Computations
auteur
Gautier Berthou, Arnaud Carer, Kevin Marquet, Ivan Miro-Panades, Davide Pala, Isabelle Puaut, Fabrice Rastello, Tanguy Risset, Erven Rohou, Guillaume Salagnac, Olivier Sentieys, Bharam Yarahmadi, Henri-Pierre Charles
article
NVMW 2018 – 10th Annual Non-Volatile Memories Workshop, Mar 2018, San Diego, United States. pp.1
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941766/file/ZEP-NVMW18.pdf BibTex
titre
Estimating Power Loads from Partial Appliance States
auteur
Nicolas Roux, Baptiste Vrigneau, Olivier Sentieys
article
NILM 2018 – 4th International Workshop on Non-Intrusive Load Monitoring, Mar 2018, Austin, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941877/file/Poster_NILM2018.pdf BibTex

Documents associated with scientific events

titre
A Comprehensive Analysis of Approximate Computing Techniques: From Component- to Application-Level
auteur
Alberto Bosio, Daniel Menard, Olivier Sentieys
article
ESWEEK 2018 – Embedded Systems Week, Sep 2018, Torino, Italy. pp.1-2
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941755/file/Tutorial_AxC_v3.pdf BibTex
titre
Playing with number representations and operator-level approximations
auteur
Olivier Sentieys
article
Keynote at the Third Workshop on Approximate Computing (AxC), in conjunction with IEEE European Test Symposium (ETS), Jun 2018, Bremen, Germany. 2018
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941868/file/keynote_AxC18_sentieys.pdf BibTex

Reports

titre
Semantic Array Dataflow Analysis
auteur
Paul Iannetta, Laure Gonnord, Lionel Morel, Tomofumi Yuki
article
[Research Report] RR-9232, Inria Grenoble Rhône-Alpes. 2018, pp.1-22
Accès au texte intégral et bibtex
https://hal.science/hal-01954396/file/RR-9232.pdf BibTex
titre
Sparse Matrix Code Dependence Analysis Simplification at Compile Time
auteur
Mahdi Soltan Mohammadi, Kazem Cheshmi, Ganesh Gopalakrishnan, Mary Hall, Maryam Mehri Dehnavi, Anand Venkat, Tomofumi Yuki, Michelle Mills Strout
article
[Research Report] Arxiv. 2018
Accès au bibtex
BibTex
titre
Algorithm Level Timing Speculation for Convolutional Neural Network Accelerators
auteur
Thibaut Marty, Tomofumi Yuki, Steven Derrien
article
[Technical Report] RT-0500, Univ Rennes, Inria, CNRS, IRISA, France. 2018, pp.1-17
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01811231/file/RT-0500.pdf BibTex

Theses

titre
Performance Optimization Mechanisms for Fault-Resilient VLIW Processors
auteur
Rafail Psiakis
article
Embedded Systems. Université de Rennes 1, 2018. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01956233/file/Thesis_Rafail.pdf BibTex
titre
Mécanismes d’optimisation des performances des processeurs VLIW à tolérance de fautes
auteur
Rafail Psiakis
article
Embedded Systems. Université de Rennes, 2018. English. ⟨NNT : 2018REN1S095⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02137404/file/PSIAKIS_Rafail.pdf BibTex
titre
Accélération matérielle pour la traduction dynamique de programmes binaires
auteur
Simon Rokicki
article
Architectures Matérielles [cs.AR]. Université de Rennes, 2018. Français. ⟨NNT : 2018REN1S086⟩
Accès au texte intégral et bibtex
https://hal.science/tel-01959136/file/ROKICKI_Simon.pdf BibTex
titre
Architectural Exploration of Network Interface for Energy Efficient 3D Optical Network-on-Chip
auteur
Van-Dung Pham
article
Embedded Systems. Université de rennes 1, 2018. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01956229/file/Thesis_pham.pdf BibTex
titre
Unités arithmétiques et cryptoprocesseurs matériels pour la cryptographie sur courbe hyperelliptique
auteur
Gabriel Gallin
article
Cryptographie et sécurité [cs.CR]. Université de Rennes, 2018. Français. ⟨NNT : 2018REN1S071⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01989822/file/GALLIN_Gabriel.pdf BibTex
titre
Towards hardware synthesis of a flexible radio from a high-level language
auteur
Mai-Thanh Tran
article
Networking and Internet Architecture [cs.NI]. Université de Rennes, 2018. English. ⟨NNT : 2018REN1S072⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02089176/file/TRAN_Mai_Thanh.pdf BibTex
titre
Architectural and Protocol Exploration for 3D Optical Network-on-Chip
auteur
Jiating Luo
article
Hardware Architecture [cs.AR]. Université de Rennes 1 [UR1], 2018. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01956255/file/These_Jiating_fin.pdf BibTex
titre
Stratégie de Placement et d’Ordonnancement de Tâches Logicielles pour les Architectures Reconfigurables sous Contrainte Énergétique
auteur
Aymen Gammoudi
article
Système d’exploitation [cs.OS]. Université de rennes 1; Université de Carthage (Tunisie), 2018. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01956241/file/Th%C3%A8se%20Aymen%20Version%20Finale.pdf BibTex

2017

Journal articles

titre
Green Communication via Cooperative Protocols using Message-Passing Decoder over AWGN Channels
auteur
Haïfa Farès, Baptiste Vrigneau, Olivier Berder, Pascal Scalart
article
IET Communications, 2017, 11 (15), pp.2320-2327. ⟨10.1049/iet-com.2016.1188⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02087948/file/GreenCommCoop_v3_SimpleColumn.pdf BibTex
titre
Impact of ADC parameters on linear optical sampling systems
auteur
Trung Hien Nguyen, Mathilde Gay, Fausto Gomez Agis, Sébastien Lobo, Olivier Sentieys, Jean-Claude Simon, Christophe Peucheret, Laurent Bramerie
article
Optics Communications, 2017, 402, pp.362-367. ⟨10.1016/j.optcom.2017.06.013⟩
Accès au bibtex
BibTex
titre
Tightening Contention Delays While Scheduling Parallel Applications on Multi-core Architectures
auteur
Benjamin Rouxel, Steven Derrien, Isabelle Puaut
article
ACM Transactions on Embedded Computing Systems (TECS), 2017, 16 (5s), pp.1 – 20. ⟨10.1145/3126496⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01655383/file/TECS_2017_HAL.pdf BibTex
titre
Blind transmitter IQ imbalance compensation in M-QAM optical coherent systems
auteur
Trung Hien Nguyen, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Olivier Sentieys, Jean-Claude Simon, Christophe Peucheret, Michel Joindot
article
Journal of Optical Communications and Networking, 2017, Special Issue on IEEE ICC 2016 ONS Symposium and OWC Workshop, 9 (9), pp.D42-D50. ⟨10.1364/JOCN.9.000D42⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01573632/file/Nguyen_jocn_17_revised.pdf BibTex
titre
Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects
auteur
Hui Li, Sébastien Le Beux, Martha Johanna Sepulveda Florez, Ian O’Connor
article
ACM Journal on Emerging Technologies in Computing Systems, 2017, XX
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01508192/file/Manuscript.pdf BibTex
titre
Parallel Custom Instruction Identification for Extensible Processors
auteur
Chenglong Xiao, Shanshan Wang, Wanjun Liu, Emmanuel Casseau
article
Journal of Systems Architecture, 2017, 76, pp.149-159. ⟨10.1016/j.sysarc.2016.11.011⟩
Accès au bibtex
BibTex
titre
A collision management structure for NoC deployment on multi-FPGA
auteur
Atef Dorai, Virginie Fresse, Catherine Combes, El-Bay Bourennane, Abdellatif Mtibaa
article
Microprocessors and Microsystems: Embedded Hardware Design , 2017, 49, pp.28 – 43. ⟨10.1016/j.micpro.2017.01.006⟩
Accès au bibtex
BibTex
titre
Co-Simulating Complex Energy Harvesting WSN Applications: An In-Tunnel Wind Powered Monitoring Example
auteur
Le-Quang-Vinh Tran, Amine Didioui, Carolynn Bernier, Gregory Vaumourin, Florian Broekaert, Agnes Fritch
article
International Journal of Sensor Networks, 2017, 23 (2), ⟨10.1504/IJSNET.2017.081336⟩
Accès au bibtex
BibTex
titre
Hybrid Obfuscation to Protect against Disclosure Attacks on Embedded Microprocessors
auteur
Marc Fyrbiak, Simon Rokicki, Nicolai Bissantz, Russell Tessier, Christof Paar
article
IEEE Transactions on Computers, 2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01426565/file/TC_camera_ready.pdf BibTex

Conference papers

titre
Architecture level Optimizations for Kummer based HECC on FPGAs
auteur
Gabriel Gallin, Turku Ozlum Celik, Arnaud Tisserand
article
IndoCrypt 2017 – 18th International Conference on Cryptology in India, Dec 2017, Chennai, India. pp.44-64, ⟨10.1007/978-3-319-71667-1_3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01614063/file/article_indocrypt2017.pdf BibTex
titre
Evaluation of NoC on Multi-FPGA Interconnection Using GTX Transceiver
auteur
Atef Dorai, Olivier Sentieys, Héléne Dubois
article
24th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Dec 2017, Batumi, Georgia
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633785/file/Dorai17ICECS.pdf BibTex
titre
Decomposed Task Mapping to Maximize QoS in Energy-Constrained Real-Time Multicores
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
35th IEEE International Conference on Computer Design (ICCD), Nov 2017, Boston, United States. pp.6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633782/file/Mo17ICCD.pdf BibTex
titre
Hyper-Threaded Multiplier for HECC
auteur
Gabriel Gallin, Arnaud Tisserand
article
Asilomar Conference on Signals, Systems, and Computers, Oct 2017, Pacific Grove, CA, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01620046/file/article-asilomar17-htmm.pdf BibTex
titre
Tightening contention delays while scheduling parallel applications on multi-core architectures
auteur
Benjamin Rouxel, Steven Derrien, Isabelle Puaut
article
International Conference on Embedded Software (EMSOFT), 2017, Oct 2017, Seoul, South Korea. pp.20, ⟨10.1145/3126496⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590508/file/EMSOFT2017_HAL.pdf BibTex
titre
Customizing Fixed-Point and Floating-Point Arithmetic – A Case Study in K-Means Clustering
auteur
Benjamin Barrois, Olivier Sentieys
article
SiPS 2017 – IEEE International Workshop on Signal Processing Systems, Oct 2017, Lorient, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633723/file/Papier_SIPS.pdf BibTex
titre
An Efficient Framework for Design and Assessment of Arithmetic Operators with Reduced-Precision Redundancy
auteur
Imran Wali, Emmanuel Casseau, Arnaud Tisserand
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2017, Dresden, Germany
Accès au bibtex
BibTex
titre
Implications of Reduced-Precision Computations in HPC: Performance, Energy and Error
auteur
Stefano Cherubin, Giovanni Agosta, Imane Lasri, Erven Rohou, Olivier Sentieys
article
International Conference on Parallel Computing (ParCo), Sep 2017, Bologna, Italy
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633790/file/ParCo_final.pdf BibTex
titre
Interface Electrique/Optique pour un ONoC
auteur
Dung Pham Van, Daniel Chillet, Cedric Killian, Olivier Sentieys, Sébastien Le Beux, Ian O’Connor
article
GRETSI 2017 – XXVIème colloque, Sep 2017, Juan les Pins, France. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01655417/file/gretsifr%20Final.pdf BibTex
titre
Sélection d’ancres pour localisation en intérieur par réseaux radios UWB
auteur
Antoine Courtay, Mickaël Le Gentil, Olivier Berder, Pascal Scalart, Sebastien Fontaine, Arnaud Carer
article
GRETSI 2017 – 26ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2017, Juan-Les-Pins, France. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-01592578/file/courtay17gretsi.pdf BibTex
titre
Bridging High-Level Synthesis and Application-Specific Arithmetic: The Case Study of Floating-Point Summations
auteur
Yohann Uguen, Florent de Dinechin, Steven Derrien
article
27th International Conference on Field-Programmable Logic and Applications (FPL), IEEE, Sep 2017, Gent, Belgium. pp.8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01373954/file/2017-FPL.pdf BibTex
titre
One size does not fit all: Implementation trade-offs for iterative stencil computations on FPGAs
auteur
Gaël Deest, Tomofumi Yuki, Sanjay Rajopadhye, Steven Derrien
article
FPL – 27th International Conference on Field Programmable Logic and Applications, Sep 2017, Gand, Belgium. ⟨10.23919/FPL.2017.8056781⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01655590/file/StencilsFPL2017.pdf BibTex
titre
Hardware Architectures for HECC
auteur
Gabriel Gallin, Arnaud Tisserand
article
CryptArchi 2017: 15th International Workshops on Cryptographic architectures embedded in logic devices , Jun 2017, Smolenice, Slovakia
Accès au texte intégral et bibtex
https://hal.science/hal-01545625/file/cryptarchi2017.pdf BibTex
titre
Energy and Performance Trade-off in Nanophotonic Interconnects using Coding Techniques
auteur
Cedric Killian, Daniel Chillet, Sébastien Le Beux, Olivier Sentieys, Van-Dung Pham, Ian O’Connor
article
DAC 2017 – IEEE/ACM Design Automation Conference DAC, Jun 2017, Austin, United States. pp.6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01495468/file/223-PK55__11_22_2016_04_55_49_PM.pdf BibTex
titre
ECC Protections against both Observation and Pertubation Attacks
auteur
Audrey Lucas, Arnaud Tisserand
article
CryptArchi 2017: 15th International Workshops on Cryptographic architectures embedded in logic devices , Jun 2017, Smolenice, Slovakia
Accès au bibtex
BibTex
titre
Run-Time Instruction Replication for Permanent and Soft Error Mitigation in VLIW Processors
auteur
Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys
article
NEWCAS 2017 – 15th IEEE International New Circuits and Systems Conference, Jun 2017, Strasbourg, France. pp.321-324, ⟨10.1109/NEWCAS.2017.8010170⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633778/file/Run%20Time%20Instruction%20Replication%20for%20Permanent%20and%20Soft%20Error%20Mitigation%20in%20VLIW%20Processors.pdf BibTex
titre
Hardware Architectures Exploration for Hyper-Elliptic Curve Cryptography
auteur
Gabriel Gallin, Arnaud Tisserand
article
Crypto’Puces 2017- 6ème rencontre Crypto’Puces, du composant au système communicant embarqué, May 2017, Porquerolles, France. pp.31
Accès au texte intégral et bibtex
https://hal.science/hal-01547034/file/gallin_tisserand_abstract-cryptopuces2017.pdf BibTex
titre
NEDA: NOP Exploitation with Dependency Awareness for Reliable VLIW Processors
auteur
Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys
article
ISVLSI 2017 – IEEE Computer Society Annual Symposium on VLSI, May 2017, Bochum, Germany. pp.391-396, ⟨10.1109/ISVLSI.2017.75⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633770/file/NEDA%20-%20NOP%20Exploitation%20with%20DependencyAwareness%20for%20Reliable%20VLIW%20Processors.pdf BibTex
titre
Taking Advantage of Correlation in Stochastic Computing
auteur
Rahul Kumar Budhwani, Rengarajan Ragavan, Olivier Sentieys
article
ISCAS 2017 – IEEE International Symposium on Circuits and Systems, May 2017, Baltimore, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633725/file/ISCAS_2016_PID4707389.pdf BibTex
titre
A high-level synthesis approach optimizing accumulations in floating-point programs using custom formats and operators
auteur
Yohann Uguen, Florent de Dinechin, Steven Derrien
article
2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr 2017, Napa, United States. pp.80-80, ⟨10.1109/FCCM.2017.41⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01498357/file/2017-HLS-Accumulations.pdf BibTex
titre
Soft timing closure for soft programmable logic cores: The ARGen approach
auteur
Théotime Bollengier, Loïc Lagadec, Mohamad Najem, Jean-Christophe Le Lann, Pierre Guilloux
article
ARC 2017 – 13th International Symposium on Applied Reconfigurable Computing, Delft University of Technology Apr 2017, Delft, Netherlands
Accès au texte intégral et bibtex
https://hal.science/hal-01475251/file/paper_6-4.pdf BibTex
titre
Hardware-Accelerated Dynamic Binary Translation
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01423639/file/rokicki_DATE_cr.pdf BibTex
titre
Performance and Energy Aware Wavelength Allocation on Ring-Based WDM 3D Optical NoC
auteur
Jiating Luo, A Elantably, D D Pham, C Killian, Daniel Chillet, Sébastien Le Beux, Olivier Sentieys, Ian O’Connor
article
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01416958/file/Camera_ready_fin.pdf BibTex
titre
Superword Level Parallelism aware Word Length Optimization
auteur
Ali Hassan El Moussawi, Steven Derrien
article
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01425550/file/main.pdf BibTex
titre
WCET-aware parallelization of model-based applications for multi-cores: The ARGO approach
auteur
Steven Derrien, Isabelle Puaut, Panayiotis Alefragis, Marcus Bednara, Harald Bucher, Clément David, Yann Debray, Umut Durak, Imen Fassi, Christian Ferdinand, Damien Hardy, Angeliki Kritikakou, Gerard Rauwerda, Simon Reder, Martin Sicks, Timo Stripf, Kim Sunesen, Timon ter Braak, Nikolaos Voros, Jürgen Becker
article
Design Automation and Test in Europe (DATE), 2017, Mar 2017, Lausanne, Switzerland. pp.286 – 289, ⟨10.23919/DATE.2017.7927000⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590418/file/DATE_final.pdf BibTex
titre
The Hidden Cost of Functional Approximation Against Careful Data Sizing – A Case Study
auteur
Benjamin Barrois, Olivier Sentieys, Daniel Ménard
article
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland. ⟨10.23919/date.2017.7926979⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01423147/file/paper.pdf BibTex
titre
Pushing the Limits of Voltage Over-Scaling for Error-Resilient Applications
auteur
Rengarajan Ragavan, Benjamin Barrois, Cedric Killian, Olivier Sentieys
article
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://hal.science/hal-01417665/file/DATE_2017.pdf BibTex

Poster communications

titre
Poster: Fault-Tolerant Multi-Processor Scheduling with Backup Copy Technique
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2017, Dresden, Germany
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01610745/file/Poster_DASIP_2017_09_14.pdf BibTex
titre
Intégration d’un NoC optique au sein d’une architecture multi-coeurs
auteur
Daniel Chillet, Dung Pham Van, Cedric Killian, Olivier Sentieys, Sébastien Le Beux, Ian O’Connor
article
2017 – XIIème Colloque National du GDR SoC-SiP, Jun 2017, Bordeaux, France. pp.1-2
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01655420/file/GDR_SoC_SiP_2017.pdf BibTex
titre
Finite Field Multiplier Architectures for Hyper-Elliptic Curve Cryptography
auteur
Gabriel Gallin, Arnaud Tisserand
article
Colloque National du GDR SOC2, Jun 2017, Bordeaux, France.
Accès au bibtex
BibTex
titre
Poster abstract: Fast and Energy-driven Design Space Exploration for Heterogeneous Architectures
auteur
Baptiste Roux, Matthieu Gautier, Olivier Sentieys, Jean-Philippe Delahaye
article
FCCM 2018 – 26th IEEE International Symposium on Field-Programmable Custom Computing Machines, Apr 2017, Napa, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01809560/file/roux17fccm.pdf BibTex

Reports

titre
Multicore Runtime for Dynamic Dataflow Video Decoders
auteur
Hervé Yviquel, Alexandre Sanchez, Raulet Mickaël, Emmanuel Casseau
article
[Technical Report] IETR/INSA Rennes; IRISA, Inria Rennes. 2017
Accès au bibtex
BibTex

Theses

titre
Methods to evaluate accuracy-energy trade-off in operator-level approximate computing
auteur
Benjamin Barrois
article
Computer Arithmetic. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S097⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01665015/file/BARROIS_Benjamin.pdf BibTex
titre
Methodology and Tools for Energy-aware Task Mapping on Heterogeneous Multiprocessor Architectures
auteur
Baptiste Roux
article
Embedded Systems. Université de Rennes 1, 2017. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01672814/file/broux_PhD.pdf BibTex
titre
Error handling and energy estimation for error resilient near-threshold computing
auteur
Rengarajan Ragavan
article
Hardware Architecture [cs.AR]. Université de Rennes; University de Rennes 1, 2017. English. ⟨NNT : 2017REN1S038⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01654476/file/RAGAVAN_Rengarajan.pdf BibTex
titre
Error Handling and Energy Estimation Framework For Error Resilient Near-Threshold Computing
auteur
Rengarajan Ragavan
article
Embedded Systems. Rennes 1, 2017. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01636803/file/Thesis_Rengarajan_version_6_final.pdf BibTex
titre
Improving performance of non-intrusive load monitoring with low-cost sensor networks
auteur
Xuan-Chien Le
article
Signal and Image processing. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S019⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01622355/file/LE_Xuan_Chien.pdf BibTex

Preprints, Working Papers, …

titre
High-Level Synthesis Using Application-Specific Arithmetic: A Case Study
auteur
Yohann Uguen, Florent de Dinechin, Steven Derrien
article
2017
Accès au texte intégral et bibtex
https://hal.science/hal-01502644/file/HLS-Using-App-Specific-Arith_A-Case-Study.pdf BibTex

2016

Journal articles

titre
Binary-Ternary Plus-Minus Modular Inversion in RNS
auteur
Karim Bigou, Arnaud Tisserand
article
IEEE Transactions on Computers, 2016, 65 (11), pp.3495-3501. ⟨10.1109/TC.2016.2529625⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01314268/file/article_tc.pdf BibTex
titre
Power Modeling and Exploration of Dynamic and Partially Reconfigurable Systems
auteur
Robin Bonamy, Sébastien Bilavarn, Daniel Chillet, Olivier Sentieys
article
Journal of Low Power Electronics, 2016, 12 (3), pp.172-185. ⟨10.1166/jolpe.2016.1448⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01345664/file/article.pdf BibTex
titre
A comparison of heuristic algorithms for custom instruction selection
auteur
Shanshan Wang, Chenglong Xiao, Wanjun Liu, Emmanuel Casseau
article
Microprocessors and Microsystems: Embedded Hardware Design , 2016, 45 (A), pp.176-186. ⟨10.1016/j.micpro.2016.05.001⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354991/file/JSTS_2nde_soumission_v2.pdf BibTex
titre
A survey of the summer coccolithophore community in the western Barents Sea
auteur
Jacques Giraudeau, Vivien Hulot, Vincent Hanquiez, Ludovic Devaux, Hélène Howa, Thierry Garlan
article
Journal of Marine Systems, 2016, 158, pp.93-105. ⟨10.1016/j.jmarsys.2016.02.012⟩
Accès au bibtex
BibTex
titre
A Heuristic Self-Adaptive Medium Access Control for Resource-Constrained WBAN Systems
auteur
Muhammad Mahtab Alam, Elyes Ben Hamida, Olivier Berder, Olivier Sentieys, Daniel Menard
article
IEEE Access, 2016, 4, pp.1287-1300
Accès au bibtex
BibTex
titre
Low-complexity Image and Video Coding Based on an Approximate Discrete Tchebichef Transform
auteur
Paulo A. M. Oliveira, Renato J. Cintra, Fabio M. Bayer, Sunera Kulasekera, Arjuna Madanayake
article
IEEE Transactions on Circuits and Systems for Video Technology, 2016, ⟨10.1109/TCSVT.2016.2515378⟩
Accès au bibtex
BibTex
titre
Array Size Computation under Uniform Overlapping and Irregular Accesses
auteur
Angeliki Kritikakou, Francky Catthoor, Vasilios Kelefouras, Costas Goutis
article
ACM Transactions on Design Automation of Electronic Systems, 2016, 21, pp.1-35. ⟨10.1145/2818643⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01239705/file/TODAES_2015_FINAL_v2_7_2015.pdf BibTex
titre
UTBB FDSOI suitability for IoT applications: Investigations at device, design and architectural levels
auteur
Florent Berthier, Edith Beigné, Frédéric Heitzmann, Olivier Debicki, Jean-Frédéric Christmann, Alexandre Valentian, Olivier Billoint, Esteve Amat, Dominique Morche, Soundous Chairat, Olivier Sentieys
article
Solid-State Electronics, 2016, 125, pp.14 – 24. ⟨10.1016/j.sse.2016.09.003⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01423144/file/UTBB%20FDSOI%20Suitability%20for%20IoT%20Applications%20%3A%20Investigations%20at%20Device%2C%20Design%20and%20Architectural%20Levels.pdf BibTex
titre
A high performance Matrix-Matrix Multiplication Methodology for CPU and GPU architectures
auteur
Vasilios Kelefouras, Angeliki Kritikakou, Iosif Mporas, Vasilios Kolonias
article
Journal of Supercomputing, 2016, pp.1-41. ⟨10.1007/s11227-015-1613-7⟩
Accès au bibtex
BibTex

Conference papers

titre
Real-Time Scheduling of Reconfigurable Battery-Powered Multi-Core Platforms
auteur
Aymen Gammoudi, Adel Benzina, Mohamed Khalgui, Daniel Chillet
article
28th International Conference on Tools with Artificial Intelligence, Nov 2016, San Jose, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01401712/file/PID4453709.pdf BibTex
titre
Reconf-Pack: A Simulator for Reconfigurable Battery-Powered Real-Time Systems
auteur
Aymen Gammoudi, Adel Benzina, Mohamed Khalgui, Daniel Chillet, Aicha Goubaa
article
30th European Simulation and Modelling Conference, Oct 2016, Las Palmas, Spain
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01401706/file/ESM2016_camera_ready_final.pdf BibTex
titre
New Reconfigurable Middleware for Adaptive RTOS in Ubiquitous Devices
auteur
Aymen Gammoudi, Adel Benzina, Mohamed Khalgui, Daniel Chillet
article
10th International Conference on Mobile Ubiquitous Computing, Systems, Services and Technologies, Oct 2016, Venise, Italy
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01401716/file/Camera%20ready%20Ubicomm2016.pdf BibTex
titre
A Case Study on the Approximate Test of Integrated Circuits
auteur
Imran Wali, Arnaud Virazel, Patrick Girard, Mario Barbareschi, Alberto Bosio
article
AC: Approximate Computing, Oct 2016, Pittsburgh, PA, United States
Accès au bibtex
BibTex
titre
Communication-Based Power Modelling for Heterogeneous Multiprocessor Architecture
auteur
Baptiste Roux, Matthieu Gautier, Olivier Sentieys, Steven Derrien
article
IEEE 10th International Symposium on Embedded Multicore /Many-core Systems-on-Chip (MCSoC 2016), Sep 2016, Lyon, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01412835/file/roux2016ieeemcsoc.pdf BibTex
titre
Multiplexing Adaptive with Classic AUTOSAR? Adaptive Software Control to Increase Resource Utilization in Mixed-Critical Systems
auteur
Angeliki Kritikakou, Thibaut Marty, Claire Pagetti, Christine Rochange, Michaël Lauer, Matthieu Roy
article
Workshop CARS 2016 – Critical Automotive applications : Robustness & Safety, Sep 2016, Göteborg, Sweden
Accès au texte intégral et bibtex
https://hal.science/hal-01375576/file/CARS2016_paper_11.pdf BibTex
titre
Effects of I/O Routing through Column Interfaces in Embedded FPGA Fabrics
auteur
Christophe Huriaux, Olivier Sentieys, Russell Tessier
article
FPL – 26th International Conference on Field Programmable Logic and Applications, Aug 2016, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01341156/file/huriaux-fpl16.pdf BibTex
titre
Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window
auteur
Rengarajan Ragavan, Cedric Killian, Olivier Sentieys
article
ISVLSI, Jul 2016, Pittsburgh, United States. pp.325 – 330, ⟨10.1109/ISVLSI.2016.13⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01416945/file/PID4222055.pdf BibTex
titre
Hybrid Position-Residues Number System
auteur
Karim Bigou, Arnaud Tisserand
article
ARITH: 23rd Symposium on Computer Arithmetic, Jul 2016, Santa Clara, CA, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01314232/file/article_arith23.pdf BibTex
titre
Wavelength spacing optimization to reduce crosstalk in WDM 3D ONoC
auteur
Jiating Luo, Daniel Chillet, Cédric Killian, Sébastien Le Beux, Ian O ‘Connor, Olivier Sentieys
article
Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01406341/file/compas2016_luo.pdf BibTex
titre
Hybrid-JIT : Compilateur JIT Matériel/Logiciel pour les Processeurs VLIW Embarqués
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
Conférence d’informatique en Parallélisme, Architecture et Système (Compas), Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/hal-01345306/file/Compass_16_final.pdf BibTex
titre
Gestion de la consommation d’un réseau optique intégré dans un MPSoC
auteur
Van-Dung Pham, Cédric Killian, Daniel Chillet, Sébastien Le Beux, Olivier Sentieys, I O ‘Connor
article
Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01406347/file/compas2016_Van_Dung_Pham.pdf BibTex
titre
Gestion de la consommation d’un ONoC intégré dans un MPSoC
auteur
Van-Dung Pham, Daniel Chillet, Cédric Killian, Sébastien Le Beux, Ian O ‘Connor, Olivier Sentieys
article
Colloque National du GDR SoC-SiP, Jun 2016, Nantes, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01414341/file/SoC-SiP_2016_paper_57.pdf BibTex
titre
Crosstalk noise aware wavelength allocation in WDM 3D ONoC
auteur
Jiating Luo, Daniel Chillet, Cédric Killian, Sébastien Le Beux, Ian O ‘Connor, Olivier Sentieys
article
Colloque National du GDR SoC-SiP, Jun 2016, Nantes, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01406355/file/GDR_SoC_SiP_v1.pdf BibTex
titre
On the FPGA-based implementation of a flexible waveform from a high-level description: Application to LTE FFT case study
auteur
Mai-Thanh Tran, Matthieu Gautier, Emmanuel Casseau
article
EAI International Conference on Cognitive Radio Oriented Wireless Networks (Crowncom16), May 2016, Grenoble, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01302652/file/Crowncom.pdf BibTex
titre
Blind Adaptive Transmitter IQ Imbalance Compensation in M-QAM Optical Coherent Systems
auteur
Trung Hien Nguyen, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Christophe Peucheret, Ti Nguyen-Ti, Matthieu Gautier, Olivier Sentieys, Jean-Claude Simon, Michel Joindot
article
2016 IEEE International Conference on Communication (ICC 2016), May 2016, Kuala Lumpur, Malaysia. ⟨10.1109/ICC.2016.7510925⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01337225/file/1570226129_2ndRoundSubmittedVersion.pdf BibTex
titre
Bi-harmonic decomposition-based maximum loglikelihood estimator for carrier phase estimation of coherent optical M-QAM
auteur
Trung Hien Nguyen, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Christophe Peucheret, Olivier Sentieys, Jean-Claude Simon, Michel Joindot
article
Optical Fiber Communication Conference (OFC 2016), Optical Society of America, Mar 2016, Anaheim, CA, United States. pp.Tu3K.3, ⟨10.1364/OFC.2016.Tu3K.3⟩
Accès au bibtex
BibTex
titre
Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects
auteur
Olivier Sentieys, Johanna Sepúlveda, Sébastien Le Beux, Jiating Luo, Cedric Killian, Daniel Chillet, Ian O ‘Connor, Hui Li
article
2th International Workshop on Optical/Photonic Interconnects for Computing Systems (OPTICS Workshop), co-located with IEEE/ACM Design Automation and Test in Europe (DATE’16), Mar 2016, Dresden, Germany
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01293506/file/2016-Optics%20-%20V9.pptx.pdf BibTex
titre
System level synthesis for virtual memory enabled hardware threads
auteur
Nicolas Estibals, Gaël Deest, Ali El-Moussawi, Steven Derrien
article
Design, Automation & Test in Europe Conference & Exhibition, Mar 2016, Dresden, France
Accès au bibtex
BibTex
titre
Leveraging Power Spectral Density for Scalable System-Level Accuracy Evaluation
auteur
Benjamin Barrois, Karthick Parashar, Olivier Sentieys
article
IEEE/ACM Conference on Design Automation and Test in Europe (DATE), Mar 2016, Dresden, Germany. pp.6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01253494/file/204_OutputPaper.pdf BibTex
titre
Towards Scalable and Efficient FPGA Stencil Accelerators
auteur
Gaël Deest, Nicolas Estibals, Tomofumi Yuki, Steven Derrien, Sanjay Rajopadhye
article
IMPACT’16 – 6th International Workshop on Polyhedral Compilation Techniques, held with HIPEAC’16, Jan 2016, Prague, Czech Republic
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01425018/file/impact2016-deest.pdf BibTex

Other publications

titre
Fixed-­point refinement, a guaranteed approach towards energy efficient computing
auteur
Olivier Sentieys, Daniel Menard, Karthick Parashar, David Novo
article
2016
Accès au bibtex
BibTex

Patents

titre
Cœur de processeur asynchrone et microcontrôleur de nœud de capteur communicant comportant un tel cœur de processeur
auteur
Florent Berthier, Edith Beigné, Frédéric Heitzmann, Olivier Debicki, Olivier Sentieys
article
France, N° de brevet: 2016. 2016
Accès au bibtex
BibTex

Poster communications

titre
Hardware and Arithmetic for Hyperelliptic Curves Cryptography
auteur
Arnaud Tisserand, Gabriel Gallin
article
CominLabs Days 2016, Nov 2016, Rennes, France. , 2016
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01404755/file/poster.pdf BibTex
titre
Accurate Modeling of Fault Impact in Arithmetic Circuits
auteur
Pierre Guilloux, Arnaud Tisserand
article
DASIP: Conference on Design and Architectures for Signal and Image Processing (Demo Night), Oct 2016, Rennes, France. , 2016
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01404772/file/poster-dasip-demo-night.pdf BibTex
titre
POSTER: Wavelength Allocation for Efficient Communications on Optical Network-on-Chip
auteur
Jiating Luo, Van-Dung Pham, Cedric Killian, Daniel Chillet, Sébastien Le Beux, Ian O ‘Connor, Olivier Sentieys
article
Conference on Design and Architectures for Signal and Image Processing, Oct 2016, Rennes, France. pp.1656 – 1658, 2016, ⟨10.1145/2810103.2810122⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01406328/file/Dasip_Conf-2Pages.pdf BibTex
titre
Demo abstract : FPGA-based implementation of a flexible FFT dedicated to LTE standard
auteur
Mai-Thanh Tran, Emmanuel Casseau, Matthieu Gautier
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Demo Night, Oct 2016, Rennes, France. , Conference on Design and Architectures for Signal and Image Processing (DASIP), Demo Night, pp.2, 2016
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354992/file/demo_night_E_Casseau_v_finale.pdf https://inria.hal.science/hal-01354992/file/Demo_night_poster_v2.pdf BibTex
titre
Plateforme matérielle–logicielle à bas coût pour l’émulation de fautes
auteur
Pierre Guilloux, Arnaud Tisserand
article
Colloque du GDR SoC-SiP, Jun 2016, Nantes, France.
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01346576/file/article-socsip-2016.pdf BibTex
titre
Zyggie: Wireless body area network prototype for gesture recognition and geolocation
auteur
A. Courtay, Olivier Berder, Mickaël Le Gentil, Arnaud Carer
article
Colloque du GDR SoC-SiP, Jun 2016, Nantes, France
Accès au bibtex
BibTex

Documents associated with scientific events

titre
Plateforme matérielle–logicielle d’émulation de fautes pour des opérateurs arithmétiques
auteur
Pierre Guilloux, Arnaud Tisserand
article
Compas 2016 : Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France. , pp.8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01313051/file/article-compas2016.pdf BibTex

Theses

titre
SIMD-aware word length optimization for floating-point to fixed-point conversion targeting embedded processors
auteur
Ali Hassan El Moussawi
article
Computer Arithmetic. Université Rennes 1, 2016. English. ⟨NNT : 2016REN1S150⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01425642/file/EL_MOUSSAWI_Ali_Hassan.pdf BibTex
titre
Conception d’un processeur ultra basse consommation pour les noeuds de capteurs sans fil
auteur
Florent Berthier
article
Réseaux et télécommunications [cs.NI]. Université de Rennes, 2016. Français. ⟨NNT : 2016REN1S130⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01423146/file/BERTHIER_Florent.pdf BibTex
titre
Contributions aux opérateurs arithmétiques GF$(2^m)$ et leurs applications à la cryptographie sur courbes elliptiques
auteur
Jérémy Métairie
article
Arithmétique des ordinateurs. Université Rennes 1, 2016. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://hal.science/tel-01324924/file/these_metairie_jeremy_2016.pdf BibTex

2015

Journal articles

titre
Combining execution pipelines to improve parallel implementation of HMMER on FPGA
auteur
Naeem Abbas, Steven Derrien, Sanjay Rajopadhye, Patrice Quinton, Alexandre Cornu, Dominique Lavenier
article
Microprocessors and Microsystems: Embedded Hardware Design , 2015, 39, pp.457-470. ⟨10.1016/j.micpro.2015.06.006⟩
Accès au bibtex
BibTex
titre
Towards FHE in Embedded Systems: A Preliminary Co-Design Space Exploration of a HW/SW Very Large Multiplier
auteur
Abozaid Ghada, Arnaud Tisserand, El-Mahdy Ahmed, Wada Yasutaka
article
IEEE Embedded Systems Letters, 2015, 7 (3), ⟨10.1109/LES.2015.2436372⟩
Accès au bibtex
BibTex
titre
Energy-Efficient Power Manager and MAC Protocol for Multi-Hop Wireless Sensor Networks Powered by Periodic Energy Harvesting Sources
auteur
Trong-Nhan Le, Alain Pegatoquet, Olivier Berder, Olivier Sentieys
article
IEEE Sensors Journal, 2015, 15 (2), pp.7208-7220. ⟨10.1109/JSEN.2015.2472566⟩
Accès au bibtex
BibTex
titre
Energy Neutral Design Framework for Supercapacitor-based Autonomous Wireless Sensor Networks
auteur
Trong Nhan Le, Alain Pegatoquet, Olivier Berder, Olivier Sentieys, Arnaud Carer
article
ACM Journal on Emerging Technologies in Computing Systems, 2015, 12 (2), pp.1–21 Article 19. ⟨10.1145/2787512⟩
Accès au bibtex
BibTex
titre
Embedded Multi-Core Systems Dedicated to Dynamic Dataflow Programs
auteur
Hervé Yviquel, Alexandre Sanchez, Pekka Jääskeläinen, Jarmo Takala, Mickaël Raulet, Emmanuel Casseau
article
Journal of Signal Processing Systems, 2015, 80 (1), pp.121-136. ⟨10.1007/s11265-014-0953-5⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01078142/file/jsps.pdf BibTex
titre
A methodology for speeding up loop kernels by exploiting the software information and the memory architecture
auteur
Vasilios Kelefouras, Angeliki Kritikakou, Costas Goutis
article
Computer Languages, Systems and Structures, 2015, 41, pp.21-41. ⟨10.1016/j.cl.2015.01.003⟩
Accès au bibtex
BibTex
titre
A methodology for speeding up matrix vector multiplication for single/multi-core architectures
auteur
Vasilios Kelefouras, Angeliki Kritikakou, Elissavet Papadima, Costas Goutis
article
Journal of Supercomputing, 2015, 71 (7), pp.2644-2667. ⟨10.1007/s11227-015-1409-9⟩
Accès au bibtex
BibTex
titre
NoC-Based Protection for SoC Time-Driven Attacks
auteur
Martha Johanna Sepulveda, Jean-Philippe Diguet, Marius Strum, Guy Gogniat
article
IEEE Embedded Systems Letters, 2015, 7 (1), ⟨10.1109/LES.2014.2384744⟩
Accès au bibtex
BibTex
titre
A multiplierless pruned DCT-like transformation for image and video compression that requires ten additions only
auteur
Vítor A. Coutinho, Renato J. Cintra, Fábio M. Bayer, Sunera Kulasekera, Arjuna Madanayake
article
Journal of Real-Time Image Processing, 2015, pp.1-9. ⟨10.1007/s11554-015-0492-8⟩
Accès au bibtex
BibTex
titre
High performance Discrete Cosine Transform (DCT) operator using multimedia oriented subword parallelism (SWP)
auteur
Shafqat Khan, Emmanuel Casseau, Daniel Menard
article
Advances in Computer Engineering, 2015, Advances in Computer Engineering, 2015, pp.10. ⟨10.1155/2015/405856⟩
Accès au bibtex
BibTex

Conference papers

titre
Exploration of Polynomial Multiplication Algorithms for Homomorphic Encryption Schemes
auteur
Vincent Migliore, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2015, Cancun, Mexico. ⟨10.1109/ReConFig.2015.7393307⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01273192/file/reconfig-2015.pdf BibTex
titre
Hardware Accelerators for ECC and HECC
auteur
Arnaud Tisserand
article
ECC: 19th Workshop on Elliptic Curve Cryptography, Sep 2015, Bordeaux, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01207422/file/slides-ecc2015.pdf BibTex
titre
Experimental Demonstration of Real Time Receiver for FDMA PON
auteur
R. Bardoux, Arnaud Carer, Aurélien Lebreton, Laurent Bramerie, Pascal Scalart, Benoit Charbonnier
article
41st European Conference on Optical Communication (ECOC 2015), Sep 2015, Valencia, Spain. ⟨10.1109/ECOC.2015.7341628⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01166164/file/FAON_ecoc2015_v06-1.pdf BibTex
titre
Communication Aware Design Method for Optical Network-on-Chip
auteur
Martha Johanna Sepulveda, Sébastien Le Beux, Luo Jiating, Cédric Killian, Daniel Chillet, Ian O’Connor, Olivier Sentieys
article
International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC-15, Politecnico di Torino, Turin, Italy, Sep 2015, Turin, Italy. pp.243-250
Accès au bibtex
BibTex
titre
Selecting Most Profitable Instruction-Set Extensions Using Ant Colony Heuristic
auteur
Shanshan Wang, Chenglong Xiao, Wanjun Liu, Emmanuel Casseau, Yang Xiao
article
Conference on Design and Architectures for Signal and Image Processing, DASIP 2015, Sep 2015, Cracow, Poland
Accès au bibtex
BibTex
titre
New Pack Oriented Solutions for Energy-Aware Feasible Adaptive Real-Time Systems
auteur
Aymen Gammoudi, Adel Benzina, Mohamed Khalgui, Daniel Chillet
article
International Conference on Intelligent Software Methodologies, Tools and Techniques, SoMeT 15, University of Naples “Federico II”, ITALY, Sep 2015, Naples, Italy. ⟨10.1007/978-3-319-22689-7_6⟩
Accès au bibtex
BibTex
titre
Single Base Modular Multiplication for Efficient Hardware RNS Implementations of ECC
auteur
Karim Bigou, Arnaud Tisserand
article
CHES: 17th International Workshop on Cryptographic Hardware and Embedded Systems, Sep 2015, Saint-Malo, France. pp.123-140, ⟨10.1007/978-3-662-48324-4_7⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01199155/file/article_ches2015.pdf BibTex
titre
Gestion des zones en fautes d’une architecture reconfigurable lors du placement des tâches matérielles
auteur
Daniel Chillet, Chin Dinh Ma, Olivier Sentieys
article
Gretsi 2015, Sep 2015, Lyon, France
Accès au bibtex
BibTex
titre
Channel-Aware Energy Optimization of OFDM Receivers Using Dynamic Precision Scaling in FPGAs
auteur
Fernando Cladera, Matthieu Gautier, Olivier Sentieys
article
European Signal Processing Conference (EUSIPCO 2015), Aug 2015, Nice, France
Accès au texte intégral et bibtex
https://hal.science/hal-01175917/file/PID3771363.pdf BibTex
titre
Fast and Secure Finite Field Multipliers
auteur
Danuta Pamula, Arnaud Tisserand
article
DSD: Euromicro Conference on Digital System Design, Aug 2015, Funchal, Portugal. ⟨10.1109/DSD.2015.46⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01169851/file/dsd2015.pdf BibTex
titre
Designing Applications for Heterogeneous Many-Core Architectures with the FlexTiles Platform
auteur
Benedikt Janssen, Fynn Schwiegelshohn, Martijn Koedam, François Duhem, Leonard Masing, Stephan Werner, Christophe Huriaux, Antoine Courtay, Emilie Wheatley, Kees Goossens, Fabrice Lemonnier, Philippe Millet, Jürgen Becker, Olivier Sentieys, Michael Hübner
article
SAMOS – 15th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, IEEE, Jul 2015, Samos Island, Greece. pp.9
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01185737/file/SS0_02.pdf BibTex
titre
Energy-Aware Computing via Adaptive Precision under Performance Constraints in OFDM Wireless Receivers
auteur
Fernando Cladera, Matthieu Gautier, Olivier Sentieys
article
IEEE Computer Society Annual Symposium on VLSI (ISVLSI 15), Jul 2015, Montpellier, France
Accès au texte intégral et bibtex
https://hal.science/hal-01175920/file/ISVLSI_EnergyAwareComputing_Cladera2015.pdf BibTex
titre
Small FPGA based Multiplication-Inversion Unit for Normal Basis Representation in $GF(2^m)$
auteur
Jérémy Métairie, Arnaud Tisserand, Emmanuel Casseau
article
ISVLSI: IEEE Computer Society Annual Symposium on VLSI, Jul 2015, Montpellier, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01175712/file/article.pdf BibTex
titre
Comparaison expérimentale d’architectures de crypto-processeurs pour courbes elliptiques et hyper-elliptiques
auteur
Gabriel Gallin, Arnaud Tisserand, Nicolas Veyrat-Charvillon
article
Compas: Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2015, Lille, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01171094/file/paper_24.pdf BibTex
titre
Full Hardware Implementation of Short Addition Chains Recoding for ECC Scalar Multiplication
auteur
Julien Proy, Nicolas Veyrat-Charvillon, Arnaud Tisserand, Nicolas Méloni
article
Compas: Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2015, Lille, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01171095/file/paper_34.pdf BibTex
titre
Fast Prototyping of a New Reconfigurable Architecture : Toward Tailored Space FPGA
auteur
Chagun Basha Basheer Ahmed, Sébastien Pillement, Loïc Lagadec, Arnaud Tisserand
article
Conférence d’informatique en Parallélisme, Architecture et Système (Compas), Jun 2015, Villeneuve d’Ascq, France. pp.10
Accès au bibtex
BibTex
titre
COMPA backend : Runtime dynamique pour l’exécution de programmes flot de données sur plates-formes multiprocesseurs
auteur
Yaset Oliva, Emmanuel Casseau, Kevin Martin, Jean-Philippe Diguet, Thanh Dinh Ngo, Yvan Eustache
article
COMPAS 2015 : – Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2015, Lille, France. pp.1-9
Accès au texte intégral et bibtex
https://hal.science/hal-01167037/file/COMPA_COMPAS15-proceedings.pdf BibTex
titre
Carrier Frequency Offset Estimation Based on Circular Harmonic Expansion for Optical Coherent M-QAM Communication Systems
auteur
Trung Hien Nguyen, Michel Joindot, Mathilde Gay, Laurent Bramerie, Jean-Claude Simon, Pascal Scalart, Olivier Sentieys
article
20th Opto-Electronics and Communications Conference (OECC 2015), Jun 2015, Shanghai, China. pp.1-3, ⟨10.1109/OECC.2015.7340175⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01316911/file/OECC2015_Hien_final.pdf BibTex
titre
Carrier Phase Recovery for Optical Coherent M-QAM Communication Systems Using Harmonic Decomposition-based Maximum Loglikelihood Estimators
auteur
Trung Hien Nguyen, Michel Joindot, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Olivier Sentieys, Jean-Claude Simon
article
OSA Advanced Photonics Congress 2015 (APC 2015), Jun 2015, Boston, MA, United States. SpT4D.3, ⟨10.1364/SPPCOM.2015.SpT4D.3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01315770/file/THNguyen_10-03-2015.pdf BibTex
titre
Low-complexity energy proportional posture/gesture recognition based on WBSN
auteur
Alexis Aulery, Jean-Philippe Diguet, Christian Roland, Olivier Sentieys
article
12th IEEE Int. Conference on Wearable and Implantable Body Sensor Networks (BSN), Jun 2015, Cambridge, United States. ⟨10.1109/BSN.2015.7299414⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01163581/file/BSN15.pdf BibTex
titre
Low complexity on-chip distributed DC-DC converter for low power WSN nodes
auteur
Rengarajan Ragavan, Cédric Killian, Olivier Sentieys
article
NEWCAS 2015 – New Circuits and Systems Conference, Jun 2015, Grenoble, France. pp.4, ⟨10.1109/NEWCAS.2015.7182118⟩
Accès au bibtex
BibTex
titre
Joint Simple Blind IQ Imbalance Compensation and Adaptive Equalization for 16-QAM Optical Communications
auteur
Trung Hien Nguyen, Pascal Scalart, Michel Joindot, Mathilde Gay, Laurent Bramerie, Christophe Peucheret, Arnaud Carer, Jean-Claude Simon, Olivier Sentieys
article
IEEE International Conference on Communications, Jun 2015, Londres, United Kingdom. pp.4913 – 4918, ⟨10.1109/ICC.2015.7249101⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01162391/file/ICC2015_IQ%20imbalance%20compensation_2ndRound_Final.pdf BibTex
titre
Power gain estimation of an event-driven wake-up controller dedicated to WSN’s microcontroller
auteur
Florent Berthier, Edith Beigné, Pascal Vivet, Olivier Sentieys
article
IEEE 13th International New Circuits and Systems Conference (NEWCAS), Jun 2015, Grenoble, France. pp.1-4, ⟨10.1109/NEWCAS.2015.7182064⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01253513/file/07182064.pdf BibTex
titre
Asynchronous Charge Sharing Power Consistent Montgomery Multiplier
auteur
Jiaoyan Chen, Arnaud Tisserand, Emanuel Popovici, Sorin Cotofana
article
ASYNC: 21st IEEE International Symposium on Asynchronous Circuits and Systems, May 2015, Mountain View, Silicon Valley California, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01176845/file/Asynchronous%20Charge%20Sharing%20Power%20Consistent%20Montgomery%20Multiplier.pdf BibTex
titre
Protecting against Cryptographic Trojans in FPGAs
auteur
Pawel Swierczynski, Marc Fyrbiak, Christof Paar, Christophe Huriaux, Russell Tessier
article
FCCM – 23rd IEEE International Symposium on Field-Programmable Custom Computing Machines, May 2015, Vancouver, Canada. ⟨10.1109/FCCM.2015.55⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01140008/file/swierczynski-fccm15.pdf BibTex
titre
Multi-beam receiver apertures using multiplierless 8-point approximate DFT
auteur
Sunera Kulasekera, Arjuna Madanayake, Dora Suarez, Renato J. Cintra, Fabio M. Bayer
article
IEEE Radar Conference (RadarCon), May 2015, Arlington, VA, United States. pp.1244-1249, ⟨10.1109/RADAR.2015.7131185⟩
Accès au bibtex
BibTex
titre
Multi-beam 4 GHz Microwave Apertures Using Current-Mode DFT Approximation on 65 nm CMOS
auteur
Viduneth Ariyarathna, Sunera Kulasekera, Arjuna Madanayake, Kye-Shin Lee, Dora Suarez, Renato J. Cintra, Fábio M. Bayer, Leonid Belostotski
article
International Microwave Symposium (IMS), May 2015, Phoenix, United States
Accès au bibtex
BibTex
titre
Radio Signature Based Posture Recognition Using WBSN
auteur
Alexis Aulery, Christian Roland, Jean-Philippe Diguet, Zheng Zhongwei, Olivier Sentieys, Pascal Scalart
article
The 14th International Conference on Information Processing in Sensor Networks (IPSN), Apr 2015, Seattle, United States
Accès au bibtex
BibTex
titre
Multi-beam 8×8 RF aperture digital beamformers using multiplierless 2-D FFT approximations
auteur
Sunera Kulasekera, Arjuna Madanayake, Chamith Wijenayake, Fabio M. Bayer, Dora Suarez, Renato J. Cintra
article
IEEE Moratuwa Engineering Research Conference (MERCon), Apr 2015, Moratuwa, Sri Lanka. pp.260-264, ⟨10.1109/MERCon.2015.7112356⟩
Accès au bibtex
BibTex
titre
Nonlinear phase noise reduction for 20-Gbit/s NRZ-QPSK signals using InP on SOI photonic crystal nanocavity
auteur
Trung Hien Nguyen, M Gay, L Bramerie, Kevin Lenglé, Christophe Peucheret, Olivier Sentieys, Jean-Claude Simon, A Bazin, R Raj, F Raineri
article
Optical Fiber Communication Conference (OFC 2015), Mar 2015, Los Angeles, California, USA, United States. pp.Tu2F.3, ⟨10.1364/OFC.2015.Tu2F.3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01136435/file/Nguyen_ofc_15_Tu2F.3.pdf BibTex
titre
Design Flow and Run-Time Management for Compressed FPGA Configurations
auteur
Christophe Huriaux, Antoine Courtay, Olivier Sentieys
article
DATE – Design, Automation and Test in Europe, Mar 2015, Grenoble, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01089319/file/huriaux-date15.pdf BibTex
titre
l1-norm Minimization Based Algorithm for Non-Intrusive Load Monitoring
auteur
Xuan-Chien Le, Baptiste Vrigneau, Olivier Sentieys
article
IEEE International Conference on Pervasive Computing and Communication Workshops (PerCom Workshops), IEEE Workshop on Pervasive Energy Services, Mar 2015, St. Louis, United States. pp.299 – 304, ⟨10.1109/PERCOMW.2015.7134052⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01253514/file/07134052.pdf BibTex
titre
Bandwidth Requirements in Manycore Architectures: What Can 3D Bring?
auteur
Olivier Sentieys
article
1st International Workshop on Optical/Photonic Inter- connects for Computing Systems (OPTICS Workshop), co-located with IEEE/ACM Design Au- tomation and Test in Europe (DATE’15), Mar 2015, Grenoble, France
Accès au bibtex
BibTex
titre
Distributed Minimum Euclidean Distance based Precoding for Wireless Sensor Network
auteur
Viet-Hoa Nguyen, Charlotte Langlais, Baptiste Vrigneau, Olivier Berder
article
International Conference on Computing, Networking and Communications (ICNC), IEEE, Feb 2015, Anaheim, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01121146/file/ICNC2015.pdf BibTex
titre
Channel allocation protocol for reconfigurable Optical Network-on-Chip
auteur
Luo Jiating, Cédric Killian, Sébastien Le Beux, Daniel Chillet, Hui Li, Ian O’Connor, Olivier Sentieys
article
SiPhotonics: Exploiting Silicon Photonics for energy-efficient high-performance computing (SiPhotonics’15), Jan 2015, Amsterdam, Netherlands. pp.7
Accès au bibtex
BibTex
titre
Asynchronous Wake Up Controller for WSN’s Microcontroller: Power Simulation and Specifications
auteur
Florent Berthier, Edith Beigné, Pascal Vivet, Olivier Sentieys
article
21st IEEE International Symposium on Asynchronous Circuits and Systems, 2015, Mountain View, United States
Accès au bibtex
BibTex

Other publications

titre
Fixed-point refinement, a guaranteed approach towards energy efficient computing
auteur
Olivier Sentieys, Daniel Menard, David Novo, Karthick Parashar
article
2015
Accès au bibtex
BibTex

Books

titre
Proceedings of IEEE 22nd Symposium on Computer Arithmetic
auteur
Jean-Michel Muller, Arnaud Tisserand, Julio Villalba Moreno
article
Muller, Jean-Michel; Tisserand, Arnaud; Villalba Moreno, Julio. IEEE, 2015, ⟨10.1109/ARITH.2015.1⟩
Accès au bibtex
BibTex

Poster communications

titre
Compa backend: a Dynamic Runtime for the execution of dataflow programs onto multi-core platforms
auteur
Kevin Martin, Jean-Philippe Diguet, Yvan Eustache, Thanh Dinh Ngo, Emmanuel Casseau, Yaset Oliva
article
Conference on Design & Architectures for Signal & Image Processing, Demo Night, Sep 2015, Cracow, Poland. 2015
Accès au bibtex
BibTex
titre
RNS Modular Computations for Cryptographic Applications
auteur
Karim Bigou, Arnaud Tisserand
article
RAIM: 7ème Rencontre Arithmétique de l’Informatique Mathématique, Apr 2015, Rennes, France. , 2015
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01141347/file/poster.pdf BibTex
titre
Hardware and Arithmetic for Hyperelliptic Curves Cryptography
auteur
Gabriel Gallin, Arnaud Tisserand, Nicolas Veyrat-Charvillon
article
RAIM: 7ème Rencontre Arithmétique de l’Informatique Mathématique, Apr 2015, Rennes, France. , 2015
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01134020/file/poster.pdf BibTex

Documents associated with scientific events

titre
Experimental Comparison of Crypto-processors Architectures for Elliptic and Hyper-Elliptic Curves Cryptography
auteur
Gabriel Gallin, Arnaud Tisserand, Nicolas Veyrat-Charvillon
article
CryptArchi: 13th International Workshops on Cryptographic Architectures Embedded in Reconfigurable Devices, Jun 2015, Leuven, Belgium.
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01197048/file/slides-CryptArchi.pdf BibTex

Theses

titre
Architecture FPGA améliorée et flot de conception pour une reconfiguration matérielle en ligne efficace
auteur
Christophe Huriaux
article
Architectures Matérielles [cs.AR]. Université de Rennes, 2015. Français. ⟨NNT : 2015REN1S140⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01253498/file/HURIAUX_Christophe.pdf BibTex
titre
Definition and evaluation of spatio-temporal scheduling strategies for 3D multi-core heterogeneous architectures
auteur
Quang Hai Khuat
article
Hardware Architecture [cs.AR]. Université de Rennes 1, 2015. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01253529/file/Thesis_KHUAT.pdf BibTex

2014

Journal articles

titre
A Frame-Based Domain-Specific Language for Rapid Prototyping of FPGA-Based Software Defined Radios
auteur
Ganda Stephane Ouedraogo, Matthieu Gautier, Olivier Sentieys
article
EURASIP Journal on Advances in Signal Processing, 2014, pp.13. ⟨10.1186/1687-6180-2014-164⟩
Accès au bibtex
BibTex
titre
Automatic custom instruction identification for application-specific instruction set processors
auteur
Chenglong Xiao, Emmanuel Casseau, Shanshan Wang, Wanjun Liu
article
Microprocessors and Microsystems: Embedded Hardware Design , 2014, 38 (Issue 8, Part B), pp.13. ⟨10.1016/j.micpro.2014.09.001⟩
Accès au bibtex
BibTex
titre
SOA-Based Label Extractor for Optical Burst Switching Application
auteur
Paulette Gavignet, Jean-Luc Barbey, Hisao Nakajima, Thierry Guillossou, Arnaud Carer
article
IEEE Photonics Technology Letters, 2014, IEEE Photonics Technology Letters, 26 (22), pp.4. ⟨10.1109/LPT.2014.2352394⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01131380/file/Paper%20PTL-28639-2014-vfinale-v0.pdf BibTex
titre
Reducing over- and under-estimation of the a priori SNR in speech enhancement techniques
auteur
Mohamed Djendi, Pascal Scalart
article
Digital Signal Processing, 2014, 32, pp.12. ⟨10.1016/j.dsp.2014.05.007⟩
Accès au bibtex
BibTex
titre
Circuits électroniques pour la génération de nombres aléatoires
auteur
Arnaud Tisserand
article
Techniques de l’Ingénieur, 2014, H5215
Accès au bibtex
BibTex
titre
OCEAN, a flexible adaptive Network-on-Chip for dynamic applications
auteur
Ludovic Devaux, Sébastien Pillement
article
Microprocessors and Microsystems: Embedded Hardware Design , 2014, 38 (4), pp. 337-357. ⟨10.1016/j.micpro.2014.02.002⟩
Accès au bibtex
BibTex
titre
Phase-Preserving Power Limiting Function Using InP on SOI Photonic Crystal Nanocavity
auteur
Trung Hien Nguyen, Kevin Lenglé, Alexandre Bazin, Laurent Bramerie, Christophe Peucheret, Mathilde Gay, Olivier Sentieys, Jean-Claude Simon, Rama Raj, Fabrice Raineri
article
IEEE Photonics Technology Letters, 2014, 26 (12), pp.1215 – 1218. ⟨10.1109/LPT.2014.2319248⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01152024/file/PTL27711_2014_Phase-preserving%20power%20limiting%20function%20using%20InP%20on%20SOI%20photonic%20crystal%20nanocavity_FinalVersion.pdf BibTex
titre
Accelerated Performance Evaluation of Fixed-Point Systems With Un-Smooth Operations
auteur
Karthick Nagaraj Parashar, Daniel Menard, Olivier Sentieys
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2014, 33 (4), pp.599-612. ⟨10.1109/TCAD.2013.2292510⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01097606/file/TCAD%20Accelerated%20Performance%20Evaluation%20of%20Fixed-Point%20Systems%20With%20Un-Smooth%20Operations.pdf BibTex
titre
Design of the coarse-grained reconfigurable architecture DART with on-line error detection
auteur
Syed M. A. H. Jafri, Stanislaw J. Piestrak, Olivier Sentieys, Sébastien Pillement
article
Microprocessors and Microsystems: Embedded Hardware Design , 2014, 38 (2), pp.124-136. ⟨10.1016/j.micpro.2013.12.004⟩
Accès au bibtex
BibTex
titre
Protection des architectures hétérogènes sur FPGA : une approche par pare-feux matériels
auteur
Pascal Cotret, Guy Gogniat
article
Techniques de l’Ingénieur, 2014, Référence IN175 – 10 p
Accès au bibtex
BibTex
titre
Power Consumption Models for the Use of Dynamic and Partial Reconfiguration
auteur
Robin Bonamy, Sebastien Bilavarn, Daniel Chillet, Olivier Sentieys
article
Microprocessors and Microsystems: Embedded Hardware Design , 2014, 38 (8), pp.860-872. ⟨10.1016/j.micpro.2014.01.002⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00941532/file/Power%20Consumption%20Models%20for%20the%20Use%20of%20Dynamic%20and%20Partial%20Reconfiguration%20version%20libre.pdf BibTex
titre
Disruption-Tolerant Wireless Sensor Networking for Biomedical Monitoring in Outdoor Conditions
auteur
Frédéric Guidec, Djamel Benferhat, Patrice Quinton
article
Mobile Networks and Applications, 2014, 19 (6), pp.684–697. ⟨10.1007/s11036-013-0491-6⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00935862/file/monet14guidec.pdf BibTex
titre
Performance evaluation of max-dmin precoding in impulsive noise for train-to-wayside communications in subway tunnels
auteur
Jean-Marc Kwadjane, Baptiste Vrigneau, Charlotte Langlais, Yann Cocheril, Marion Berbineau
article
EURASIP Journal on Wireless Communications and Networking, 2014, 2014, pp.83. ⟨10.1186/1687-1499-2014-83⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01009636/file/1687-1499-2014-83.pdf BibTex

Conference papers

titre
Soft Analytical Side-Channel Attacks
auteur
Nicolas Veyrat-Charvillon, Benoît Gérard, François-Xavier Standaert
article
Advances in Cryptology – ASIACRYPT 2014 – 20th International Conference on the Theory and Application of Cryptology and Information Security, Palash Sarkar, Tetsu Iwata, Dec 2014, Kaoshiung, Taiwan. pp.282 – 296, ⟨10.1007/978-3-662-45611-8_15⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01096218/file/150.pdf BibTex
titre
Dynamic Run-time Hardware/Software Scheduling For 3D Reconfigurable SoC
auteur
Quang Hai Khuat, Daniel Chillet, Michael Hubner
article
International Conference on Reconfigurable Computing and FPGAs (ReConFig 2014), Dec 2014, Cancun, Mexico
Accès au bibtex
BibTex
titre
Place Reservation Technique for Online Task Placement on a Multi-context Heterogeneous Reconfigurable Architecture
auteur
Quang Hoa Le, Emmanuel Casseau, Antoine Courtay
article
International Conference on Reconfigurable Computing and FPGAs (ReConFig 2014), Dec 2014, Cancun, Mexico. pp.6
Accès au bibtex
BibTex
titre
Hardware/Software Support for Securing Virtualization in Embedded Systems
auteur
Franck Bucheron, Arnaud Tisserand, Louis Rilling
article
1st Symposium on Digital Trust in Auvergne, Dec 2014, Clermont-Ferrand, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01095430/file/article-sdta-2014-bucheron.pdf https://inria.hal.science/hal-01095430/file/presentation-sdta-2014-bucheron.pdf BibTex
titre
Just-In-Time Scheduling Techniques for Multicore Signal Processing Systems
auteur
Julien Heulot, Maxime Pelcat, Jean-François Nezan, Yaset Oliva, Slaheddine Aridhi, Shuvra S. Bhattacharyya
article
GlobalSIP14, Dec 2014, Atlanta, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01101790/file/globalSIP14_camReady_v2.pdf BibTex
titre
A Power Manager with Balanced Quality of Service for Energy-Harvesting Wireless Sensor Nodes
auteur
Trong Nhan Le, Alain Pegatoquet, Olivier Berder, Olivier Sentieys
article
International Workshop on Energy Neutral Sensing Systems (ENSSys) – ENSsys ’14, Nov 2014, Memphis, United States. pp.19-24, ⟨10.1145/2675683.2675687⟩
Accès au bibtex
BibTex
titre
Cooperative Closed-loop MIMO Selective Transmissions in a HV Environment
auteur
Olufemi James Oyedapo, Baptiste Vrigneau, Rodolphe Vauzelle
article
SmartGridComm, Nov 2014, Venice, Italy
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01277452/file/Oyedapo-IEEE-SGCom14.pdf BibTex
titre
Toward Scalable Source Level Accuracy Analysis for Floating-point to Fixed-point Conversion
auteur
Gaël Deest, Tomofumi Yuki, Olivier Sentieys, Steven Derrien
article
2014 IEEE/ACM International Conference on Computer-Aided Design, Nov 2014, San Jose, United States. pp.726–733
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01095207/file/ICCAD2014-Accuracy.pdf BibTex
titre
Intrinsic Fault Tolerance of Hopfield Model for Scheduling Technique in RSoC
auteur
Singh Rajhans, Daniel Chillet
article
International Conference on Neural Computing and Therory Application (NCTA 2014), Oct 2014, Rome, Italy
Accès au bibtex
BibTex
titre
An investigation of temporal feature integration for a low-latency classification with application to speech/music/mix classification
auteur
Joachim Flocon-Cholet, Alexandre Guérin, Julien Faure, Pascal Scalart
article
137th Audio Engineering Society Convention, no 9180, AES, Oct 2014, Los Angeles, United States
Accès au bibtex
BibTex
titre
Distributed run-time WCET controller for concurrent critical tasks in mixed-critical systems
auteur
Angeliki Kritikakou, Claire Pagetti, Matthieu Roy, Christine Rochange, Madeleine Faugère, Sylvain Girbal, Daniel Gracia Pérez
article
22nd International Conference on Real-Time Networks and Systems, Oct 2014, Versailles, France. ⟨10.1145/2659787.2659799⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01096102/file/RTNS14_HAL%20%281%29.pdf BibTex
titre
A Fast Method for Overflow Effect Analysis in Fixed-point Systems
auteur
Nehmeh Riham, Daniel Menard, Andrei Banciu, Thierry Michel, Romuald Rocher
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2014, Madrid, Spain
Accès au bibtex
BibTex
titre
Dataflow program implementation onto a heterogeneous multiprocessor platform
auteur
Kevin Martin, Jean-Philippe Diguet, Emmanuel Casseau, Yaset Oliva
article
METODO, Oct 2014, Madrid, France
Accès au texte intégral et bibtex
https://hal.science/hal-01075481/file/metodo14.pdf BibTex
titre
Robust Sub-Powered Asynchronous Logic
auteur
Jiaoyan Chen, Arnaud Tisserand, Emanuel Popovici, S. D. Cotofana
article
PATMOS – International Workshop on Power And Timing Modeling, Optimization and Simulation, Sep 2014, Palma de Mallorca, Spain. ⟨10.1109/PATMOS.2014.6951863⟩
Accès au bibtex
BibTex
titre
Real-time On-Demand Multi-Hop Audio Streaming with Low-Resource Sensor Motes
auteur
Cong-Duc Pham, Philippe Cousin, Arnaud Carer
article
LCN 2014 – 39th Conference on Local Computer Networks Workshops, Sep 2014, Edmonton, Canada. pp.539 – 543, ⟨10.1109/LCNW.2014.6927700⟩
Accès au bibtex
BibTex
titre
A robust howling detection algorithm based on a statistical approach
auteur
Joachim Flocon-Cholet, Julien Faure, Alexandre Guérin, Pascal Scalart
article
International Workshop on Acoustic Signal Enhancement (IWAENC), Sep 2014, Antibes, France
Accès au bibtex
BibTex
titre
Implementation of an adaptive energy-efficient MAC protocol in OMNeT++/MiXiM
auteur
Van-Thiep Nguyen, Matthieu Gautier, Olivier Berder
article
1st OMNeT++ Community Summit, Sep 2014, France. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-01070701/file/1409.0991v1.pdf BibTex
titre
FPGA Architecture Support for Heterogeneous, Relocatable Partial Bitstreams
auteur
Christophe Huriaux, Olivier Sentieys, Russell Tessier
article
FPL – 24th International Conference on Field Programmable Logic and Applications, Sep 2014, Munich, Germany. ⟨10.1109/FPL.2014.6927494⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01017184/file/huriaux-fpl14.pdf BibTex
titre
Design Space Exploration in an FPGA-Based Software Defined Radio
auteur
Matthieu Gautier, Ganda Stephane Ouedraogo, Olivier Sentieys
article
Euromicro Conference on Digital System Design, Aug 2014, Verona, Italy. ⟨10.1109/DSD.2014.44⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01084781/file/06927222.pdf BibTex
titre
Considering reconfiguration overhead in scheduling of dependent tasks on 2D Reconfigurable FPGA
auteur
Quang Hai Khuat, Daniel Chillet, Michael Hubner
article
International Conference on Adaptive Hardware Systems (AHS 2014), Jul 2014, Leicester, United Kingdom. pp.8
Accès au bibtex
BibTex
titre
IQ Imbalance Compensation Based on Maximum SNR Estimation in Coherent QPSK Systems
auteur
Trung Hien Nguyen, Fausto Gomez Agis, Mathilde Gay, Luiz Anet Neto, Pascal Scalart, Christophe Peucheret, Michel Joindot, Olivier Sentieys, Jean-Claude Simon, Laurent Bramerie
article
16th International Conference on Transparent Optical Networks (ICTON 2014), Jul 2014, Graz, Austria. paper Tu.C1.3, ⟨10.1109/ICTON.2014.6876406⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01062978/file/Nguyen_icton_14_Tu.C1.3.pdf BibTex
titre
First experimental demonstration of real-time orchestration in a Multi-head metro network
auteur
Lida Sadeghioon, Paulette Gavignet, Ahmed Triki, Jean-Luc Barbey, Esther Le Rouzic, Laurent Bramerie, Vincent Alaiwan, Eric Borgne, Christophe Betoule, Bernard Arzur, Arnaud Carer
article
16th International Conference on Transparent Optical Networks (ICTON 2014), Jul 2014, Graz, Austria. pp.1 – 4, ⟨10.1109/ICTON.2014.6876667⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01084900/file/Icton%202014%20post%20deadline%20paper.pdf BibTex
titre
RNS Modular Multiplication through Reduced Base Extensions
auteur
Karim Bigou, Arnaud Tisserand
article
ASAP – 25th IEEE International Conference on Application-specific Systems, Architectures and Processors, Jun 2014, Zurich, Switzerland. pp.57-62, ⟨10.1109/ASAP.2014.6868631⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01010961/file/article_asap2014.pdf BibTex
titre
Asynchronous MAC Protocol for Spectrum Agility in Wireless Body Area Sensor Networks
auteur
Nhat-Quang Nhan, Matthieu Gautier, Olivier Berder
article
9th International Conference on Cognitive Radio Oriented Wireless Networks, Jun 2014, Oulu, Finland. pp.203-208
Accès au texte intégral et bibtex
https://hal.science/hal-01070543/file/Crowncom_CRICER_final.pdf BibTex
titre
Frame-based Modeling for Automatic Synthesis of FPGA-Software Defined Radio
auteur
Ganda Stephane Ouedraogo, Matthieu Gautier, Olivier Sentieys
article
9th International Conference on Cognitive Radio Oriented Wireless Networks, Jun 2014, Oulu, Finland. pp.203-208
Accès au texte intégral et bibtex
https://hal.science/hal-01070549/file/Crowncom_HLS_final.pdf BibTex
titre
Improving High-Level Synthesis Effectiveness Through Custom Operator Identification
auteur
Chenglong Xiao, Emmanuel Casseau
article
IEEE International Symposium on Circuits and Systems, Jun 2014, Melbourne, Australia
Accès au bibtex
BibTex
titre
EnvAdapt: An Energy-Aware Simulation Framework for Power-Scalable Transceivers for Wireless Sensor Networks
auteur
Amine Didioui, Carolynn Bernier, Le-Quang-Vinh Tran, Olivier Sentieys
article
20th European Wireless Conference, May 2014, Barcelona, Spain. pp.1-6
Accès au bibtex
BibTex
titre
Low Power Reconfigurable Controllers for Wireless Sensor Network Nodes
auteur
Vivek Tovinakere Dwarakanath, Olivier Sentieys, Steven Derrien, Christophe Huriaux
article
FCCM – 22nd IEEE International Symposium on Field-Programmable Custom Computing Machines, May 2014, Boston, United States. pp.230-233, ⟨10.1109/FCCM.2014.68⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01017185/file/tovinakere-fccm14.pdf BibTex
titre
Efficient Software Synthesis of Dynamic Dataflow Programs
auteur
Hervé Yviquel, Alexandre Sanchez, Pekka Jääskeläinen, Jarmo Takala, Mickaël Raulet, Emmanuel Casseau
article
ICASSP 2014, May 2014, Florence, Italy. pp.1
Accès au texte intégral et bibtex
https://hal.science/hal-00988003/file/article.pdf BibTex
titre
Integer word-length optimization for fixed-point systems
auteur
Nehmeh Riham, Daniel Menard, Andrei Banciu, Thierry Michel, Romuald Rocher
article
IEEE International Conference Acoustics, Speech and Signal Processing (ICASSP), May 2014, Florence, Italy. ⟨10.1109/ICASSP.2014.6855224⟩
Accès au bibtex
BibTex
titre
FPGA Architecture Enhancements to Support Heterogeneous Partially Reconfigurable Regions
auteur
Christophe Huriaux, Olivier Sentieys, Russell Tessier
article
FCCM – 22nd IEEE International Symposium on Field-Programmable Custom Computing Machines, May 2014, Boston, United States. pp.30, ⟨10.1109/FCCM.2014.17⟩
Accès au bibtex
BibTex
titre
RIC-MAC: a MAC Protocol for Low-Power Cooperative Wireless Sensor Networks
auteur
Le-Quang-Vinh Tran, Olivier Berder, Olivier Sentieys
article
IEEE Wireless Communications and Networking Conference (WCNC), Apr 2014, Istanbul, Turkey. pp.1944-1949, ⟨10.1109/WCNC.2014.6952567⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01097607/file/WCNC%20-%20RIC-MAC%3A%20a%20MAC%20Protocol%20for%20Low-Power%20Cooperative%20Wireless%20Sensor%20Networks.pdf BibTex

Book sections

titre
Rapid Prototyping for Video Coding over Flexible Radio Links
auteur
Matthieu Gautier, Emmanuel Casseau, Hervé Yviquel, Ganda Stephane Ouedraogo, Mickael Raulet, Olivier Sentieys
article
Multimedia over Cognitive Radio Networks : Algorithms, Protocols, and Experiments, CRC Press, 2014
Accès au bibtex
BibTex
titre
Classification-Based Optimization of Dynamic Dataflow Programs
auteur
Hervé Yviquel, Emmanuel Casseau, Matthieu Wipliez, Jérôme Gorin, Mickaël Raulet
article
Advancing Embedded Systems and Real-Time Communications with Emerging Technologies, IGI Global, pp.282-301, 2014, 9781466660342. ⟨10.4018/978-1-4666-6034-2.ch012⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01068648/file/Classification.pdf BibTex

Patents

titre
Method and Device for Programming a FPGA
auteur
Olivier Sentieys, Antoine Courtay, Christophe Huriaux, Sébastien Pillement
article
France, Patent n° : 14305143.1. 2014
Accès au bibtex
BibTex

Poster communications

titre
Orcc’s Compa-Backend demonstration
auteur
Yaset Oliva, Emmanuel Casseau, Kevin Martin, Pierre Bomel, Jean-Philippe Diguet, Hervé Yviquel, Mickael Raulet, Erwan Raffin, Laurent Morin
article
Conference on Design and Architectures for Signal and Image Processing, Demo Night, Oct 2014, Madrid, Spain. 2014
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01059858/file/poster_dasip_2014.pdf BibTex
titre
Placement en Ligne de Tâches sur Architecture Dynamiquement Reconfigurable Hétérogène
auteur
Quang Hoa Le, Emmanuel Casseau, Antoine Courtay
article
Colloque GDR SOC-SIP, Jun 2014, Paris, France. 2014
Accès au bibtex
BibTex

Documents associated with scientific events

titre
Automatic Fixed-Point Conversion: a Gateway to High-Level Power Optimization
auteur
Olivier Sentieys, Daniel Menard, David Novo, Karthick Parashar
article
Tutorial at IEEE/ACM Design Automation and Test in Europe (DATE), Mar 2014, Dresden, Germany
Accès au bibtex
BibTex

Theses

titre
Automatic synthesis of hardware accelerator from high-level specifications of physical layers for flexible radio
auteur
Ganda Stéphane Ouedraogo
article
Networking and Internet Architecture [cs.NI]. Université de Rennes, 2014. English. ⟨NNT : 2014REN1S183⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01492963/file/OUEDRAOGO_Stephane.pdf BibTex
titre
Automatic Synthesis of Hardware Accelerators from High-Level Specifications of Physical Layers for Flexible Radio
auteur
Ganda Stephane Ouedraogo
article
Hardware Architecture [cs.AR]. Université de Rennes 1, 2014. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01096012/file/thesisreport.pdf BibTex
titre
Gestion dynamique du parallélisme dans les architectures multi-cœurs pour applications mobiles
auteur
Matthieu Texier
article
Traitement du signal et de l’image [eess.SP]. Université de Rennes 1, 2014. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01099853/file/m%C3%A9moire_Matthieu_Texier.pdf BibTex
titre
Gestion dynamique du parallélisme dans les architectures multi-cœurs pour applications mobiles
auteur
Matthieu Texier
article
Autre [cs.OH]. Université de Rennes, 2014. Français. ⟨NNT : 2014REN1S081⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01127515/file/2014REN1S081.pdf BibTex
titre
Étude théorique et implantation matérielle d’unités de calcul en représentation modulaire des nombres pour la cryptographie sur courbes elliptiques
auteur
Karim Bigou
article
Autre [cs.OH]. Université de Rennes, 2014. Français. ⟨NNT : 2014REN1S087⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01127639/file/2015REN1S087.pdf BibTex
titre
Energy-aware transceiver for energy harvesting wireless sensor networks
auteur
Amine Didioui
article
Networking and Internet Architecture [cs.NI]. Université de Rennes, 2014. English. ⟨NNT : 2014REN1S056⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01127236/file/2014REN1S056.pdf BibTex
titre
Evaluation analytique de la précision des systèmes en virgule fixe pour des applications de communication numérique
auteur
Aymen Chakhari
article
Traitement du signal et de l’image [eess.SP]. Université de Rennes 1, 2014. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01097176/file/these.pdf BibTex
titre
Évaluation analytique de la précision des systèmes en virgule fixe pour des applications de communication numérique
auteur
Aymen Chakhari
article
Arithmétique des ordinateurs. Université de Rennes, 2014. Français. ⟨NNT : 2014REN1S059⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01127327/file/2014REN1S059.pdf BibTex
titre
Global Power Manager System for Self-Powered Autonomous Wireless Sensor Node
auteur
Trong Nhan Le
article
Signal and Image processing. Université de Rennes 1, 2014. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01099771/file/Thesis_Nhan_submitted.pdf BibTex
titre
Global power management system for self-powered autonomous wireless sensor node
auteur
Trong Nhan Le
article
Networking and Internet Architecture [cs.NI]. Université de Rennes, 2014. English. ⟨NNT : 2014REN1S048⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01082861/file/LE_Trong_Nhan.pdf BibTex
titre
Low Complexity, Parallel Algorithms, and Scalable Architectures for Real Time Coherent Optical OFDM Systems
auteur
Pramod Udupa
article
Signal and Image processing. Université de Rennes 1, 2014. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01099824/file/Thesis_Pramod.pdf BibTex

2013

Journal articles

titre
Compiling Scilab to high performance embedded multicore systems
auteur
Timo Stripf, Oliver Oey, Thomas Bruckschloegla, Juergen Becker, Gerard Rauwerda, Kim Sunesen, George Goulas, Panayiotis Alefragis, Nikolaos S. Voros, Steven Derrien, Olivier Sentieys, Nikolaos Kavvadias, Grigoris Dimitroulakos, Kostas Masselos, Dimitrios Kritharidis, Nikolaos Mitas, Thomas Perschke
article
Microprocessors and Microsystems: Embedded Hardware Design , 2013, Special Issue on European Projects in Embedded System Design: EPESD2012, 37 (8), pp.1033-1049. ⟨10.1016/j.micpro.2013.07.004⟩
Accès au bibtex
BibTex
titre
A single sediment-Microbial Fuel Cell powering a wireless telecommunication system
auteur
Yohann R J Thomas, Matthieu Picot, Arnaud Carer, Olivier Berder, Olivier Sentieys, Frédéric Barrière
article
Journal of Power Sources, 2013, 241, pp.703-708. ⟨10.1016/j.jpowsour.2013.05.016⟩
Accès au texte intégral et bibtex
https://univ-rennes.hal.science/hal-00832354/file/post-print_j_power_sources_2013.pdf BibTex
titre
Automated design of networks of Transport-Triggered Architecture processors using Dynamic Dataflow Programs
auteur
Hervé Yviquel, Jani Boutellier, Mickaël Raulet, Emmanuel Casseau
article
Signal Processing: Image Communication, 2013, 28 (10), pp.1295 – 1302. ⟨10.1016/j.image.2013.08.013⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00909325/file/Automated_design_of_networks_of_TTA_using_Dynamic_Dataflow_Programs.pdf BibTex
titre
Light Sources and Cameras for Standard in Vitro Membrane Potential and High-Speed Ion Imaging
auteur
R. Davies, J. Graham, M. Canepari
article
Journal of Computer-Assisted Microscopy, 2013, 251 (1), pp.8. ⟨10.1111/jmi.12047⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01137829/file/Davies_etal_revised.pdf BibTex
titre
Light sources and cameras for standard in vitro membrane potential and high-speed ion imaging.
auteur
Rosanna Davies, Jeremy Graham, Marco Canepari
article
Journal of Microscopy, 2013, 251 (1), pp.5-13. ⟨10.1111/jmi.12047⟩
Accès au texte intégral et bibtex
https://inserm.hal.science/inserm-00842917/file/Davies_2013_Light_MA.pdf BibTex
titre
Economic and simple system to combine single-spot photolysis and whole-field fluorescence imaging.
auteur
Nadia Jaafari, Mark Henson, Jeremy Graham, Marco Canepari
article
Journal of Biomedical Optics, 2013, 18 (6), pp.60505. ⟨10.1117/1.JBO.18.6.060505⟩
Accès au texte intégral et bibtex
https://inserm.hal.science/inserm-00843337/file/Jaafari_2013_Economic_MA.pdf https://inserm.hal.science/inserm-00843337/file/inserm-00843337_edited.pdf BibTex
titre
Low Overhead Fault-Tolerance Technique for Dynamically Reconfigurable Softcore Processor
auteur
Hung-Manh Pham, Sébastien Pillement, Stanislaw Piestrak
article
IEEE Transactions on Computers, 2013, 62 (6), pp.1179-1192. ⟨10.1109/TC.2012.55⟩
Accès au bibtex
BibTex
titre
Impact of Sampling-Source Extinction Ratio in Linear Optical Sampling
auteur
Trung Hien Nguyen, Fausto Gomez Agis, Laurent Bramerie, Mathilde Gay, Jean-Claude Simon, Olivier Sentieys
article
IEEE Photonics Technology Letters, 2013, 27 (7), pp.663-666. ⟨10.1109/LPT.2013.2248353⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00931661/file/Impact_of_Sampling-Source_Extinction_Ratio_in_Linear_Optical_Sampling_PTL13.pdf BibTex
titre
General minimum Euclidean distance-based precoder for MIMO wireless systems
auteur
Quoc-Tuong Ngo, Olivier Berder, Pascal Scalart
article
EURASIP Journal on Advances in Signal Processing, 2013, pp.1-12. ⟨10.1186/1687-6180-2013-39⟩
Accès au bibtex
BibTex
titre
Polyhedral Bubble Insertion: A Method to Improve Nested Loop Pipelining for High-Level Synthesis
auteur
Antoine Morvan, Steven Derrien, Patrice Quinton
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2013, 32 (3), pp.339-352. ⟨10.1109/TCAD.2012.2228270⟩
Accès au bibtex
BibTex
titre
An Efficient Framework for Power-Aware Design of Heterogeneous MPSoC
auteur
Rabie Ben Atitallah, Eric Senn, Daniel Chillet, Mickael Lanoe, Dominique Blouin
article
IEEE Transactions on Industrial Informatics, 2013, 9 (1), pp.487-501. ⟨10.1109/TII.2012.2198657⟩
Accès au bibtex
BibTex
titre
Évaluation de la précision en virgule fixe dans le cas des structures conditionnelles
auteur
Jean Charles Naud, Daniel Menard, Olivier Sentieys
article
Revue des Sciences et Technologies de l’Information – Série TSI : Technique et Science Informatiques, 2013, 32 (2), pp.179-201
Accès au bibtex
BibTex
titre
Economic and simple system to combine single-spot photolysis and whole-field fluorescence imaging
auteur
Nadia Jaafari, Mark Henson, Jeremy Graham, Marco Canepari
article
Journal of Biomedical Optics, 2013, pp.4. ⟨10.1117/1.JBO.18.6.060505⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01137823/file/Jaafari_etal_revised.pdf BibTex
titre
On the performance of distributed space-time coded cooperative relay networks based on inter-relay communications
auteur
Le-Quang-Vinh Tran, Olivier Berder, Olivier Sentieys
article
EURASIP Journal on Wireless Communications and Networking, 2013, 2013 (1), pp.239
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00903327/file/1687-1499-2013-239.pdf BibTex
titre
Modeling Social-Ecological Feedback Effects in the Implementation of Payments for Environmental Services in Pasture-Woodlands
auteur
Robert Huber, Simon Briner, Alexander Peringer, Stefan Lauber, Roman Seidl, Alexander Widmer, François Gillet, Alexandre Buttler, Quang Bao Le, Christian Hirschi
article
Ecology and Society, 2013, 18 (2), pp.41. ⟨10.5751/ES-05487-180241⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01074586/file/Huber2013a_EcolSoc.pdf BibTex
titre
Analysis of Two-Sensors Forward BSS Structure With Post-Filters in The Presence of Coherent and Incoherent Noise
auteur
Mohamed Djendi, Pascal Scalart, André Gilloire
article
Speech Communication, 2013, 55, pp.975-987
Accès au bibtex
BibTex

Conference papers

titre
A Low-Latency and Energy-Efficient MAC Protocol for Cooperative Wireless Sensor Networks
auteur
Duc-Long Nguyen, Le-Quang-Vinh Tran, Olivier Berder, Olivier Sentieys
article
Global Communications Conference (Globecom), IEEE, Dec 2013, Atlanta, United States
Accès au bibtex
BibTex
titre
Derivation of Efficient FSM from Loop Nests
auteur
Tomofumi Yuki, Antoine Morvan, Steven Derrien
article
International Conference on Field-Programmable Technology (ICFPT), Dec 2013, Kyoto, Japan
Accès au bibtex
BibTex
titre
A Polynomial Time Algorithm for Solving the Word-length Optimization Problem
auteur
Karthick Parashar, Daniel Menard, Olivier Sentieys
article
IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2013, San Diego, United States
Accès au bibtex
BibTex
titre
Multi-Source Power Manager for Super-Capacitor based Energy Harvesting Wireless Sensor Networks
auteur
Trong-Nhan Le, Alain Pegatoquet, Olivier Berder, Olivier Sentieys
article
1st International Workshop on Energy Neutral Sensing Systems (ENSSys) organized in conjunction with 11th ACM SenSys Conference, Nov 2013, Rome, Italy. Paper 19, ⟨10.1145/2534208.2534227⟩
Accès au bibtex
BibTex
titre
Ultra Low Power Asynchronous MAC Protocol using Wake-Up Radio for Energy Neutral Wireless Sensor Networks
auteur
Trong-Nhan Le, Michele Magno, Alain Pegatoquet, Olivier Berder, Olivier Sentieys, Emanuel Popovici
article
1st International Workshop on Energy-Neutral Sensing Systems (ENSsys) organized in conjunction with 11th ACM SenSys Conference, Nov 2013, Rome, Italy. Paper 10, ⟨10.1145/2534208.2534221⟩
Accès au bibtex
BibTex
titre
Orcc: multimedia development made easy
auteur
Hervé Yviquel, Antoine Lorence, Khaled Jerbi, Gildas Cocherel, Alexandre Sanchez, Mickaël Raulet
article
The 21st ACM International Conference on Multimedia, Oct 2013, Barcelone, France. pp.863-866
Accès au texte intégral et bibtex
https://hal.science/hal-00909401/file/article.pdf BibTex
titre
Communication Cost Reduction For Hardware Tasks Placed on Homogeneous Reconfigurable Resource
auteur
Quang Hai Khuat, Daniel Chillet
article
DASIP 2013, Design and Architectures for Signal and Image Processing, Oct 2013, Cagliari, Italy. pp.265-270
Accès au bibtex
BibTex
titre
GeCoS: A framework for prototyping custom hardware design flows
auteur
Antoine Floch, Tomofumi Yuki, Ali El-Moussawi, Antoine Morvan, Kevin Martin, Maxime Naullet, Mythri Alle, Ludovic L’Hours, Nicolas Simon, Steven Derrien, François Charot, Christophe Wolinski, Olivier Sentieys
article
13th IEEE International Working Conference on Source Code Analysis and Manipulation (SCAM), Sep 2013, Eindhoven, Netherlands. pp.100-105, ⟨10.1109/SCAM.2013.6648190⟩
Accès au bibtex
BibTex
titre
Duty-Cycle Power Manager for Thermal-Powered Wireless Sensor Networks
auteur
Trong Nhan Le, Alain Pegatoquet, Olivier Sentieys, Olivier Berder, Cécile Belleudy
article
2013 IEEE 24th Annual International Symposium on Personal, Indoor, and Mobile Radio Communications (PIMRC), Sep 2013, Londres, United Kingdom. pp.1645-1649, ⟨10.1109/PIMRC.2013.6666406⟩
Accès au bibtex
BibTex
titre
Energy efficient reservation-based opportunistic MAC scheme in multi-hop networks
auteur
Ruifeng Zhang, Olivier Berder, Olivier Sentieys
article
International Symposium on Personal Indoor and Mobile Radio Communications (PIMRC), IEEE, Sep 2013, London, United Kingdom. pp.1660 – 1665, ⟨10.1109/PIMRC.2013.6666409⟩
Accès au bibtex
BibTex
titre
Coarse-Grain Optimization and Code Generation for Embedded Multicore Systems
auteur
George Goulas, Christos Valouxis, Panayiotis Alefragis, Nikolaos S. Voros, Oliver Oey, Timo Stripf, Thomas Bruckschlögl, Juergen Becker, Christos Gogos, Ali El Moussawi, Maxime Naullet, Tomofumi Yuki
article
16th Euromicro Conference on Digital System Design (DSD), Sep 2013, Santander, Spain. pp.379-386, ⟨10.1109/DSD.2013.48⟩
Accès au bibtex
BibTex
titre
Component-Level Datapath Merging in System-Level Design of Wireless Sensor Node Controllers for FPGA-Based Implementations
auteur
Muhammad Adeel Ahmed Pasha, Steven Derrien, Olivier Sentieys
article
Euromicro Conference on Digital System Design (DSD), Sep 2013, Santander, Spain. pp.543-550, ⟨10.1109/DSD.2013.64⟩
Accès au bibtex
BibTex
titre
Towards run-time actor mapping of dynamic dataflow programs onto multi-core platforms
auteur
Hervé Yviquel, Emmanuel Casseau, Mickaël Raulet, Pekka Jääskeläinen, Jarmo Takala
article
International Symposium on Image and Signal Processing and Analysis (ISPA), Sep 2013, Trieste, Italy. pp.725 – 730
Accès au texte intégral et bibtex
https://hal.science/hal-00909408/file/ispa_yviquel.pdf BibTex
titre
Description haut niveau de formes d’ondes pour la radio logicielle sur architectures reconfigurables
auteur
Ganda Stephane Ouedraogo, Matthieu Gautier, Olivier Sentieys
article
XXIVe Colloque Gretsi – Traitement du Signal et des Images, Sep 2013, Brest, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00863361/file/HLS_gretsi_final.pdf BibTex
titre
Ordonnancement Spatio-Temporel 3D minimisant le coût de communications entre tâches
auteur
Quang Hai Khuat, Quang Hoa Le, Daniel Chillet, Antoine Courtay, Emmanuel Casseau
article
XXIVe Colloque Gretsi – Traitement du Signal et des Images, Sep 2013, Brest, France. pp.1-7
Accès au bibtex
BibTex
titre
Design and Implementation of DSP algorithms for 100 Gbps Coherent Optical-OFDM (CO-OFDM) Systems
auteur
Pramod Udupa, Olivier Sentieys, Laurent Bramerie
article
XXIVe Colloque Gretsi – Traitement du Signal et des Images, Sep 2013, Brest, France. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00931542/file/gretsi_Udupa_Sentieys_Bramerie_final.pdf BibTex
titre
Architectures de contrôleurs ultra-faible consommation pour noeuds de réseau de capteurs sans fil
auteur
Olivier Sentieys, Muhammad Adeel Ahmed Pasha, Steven Derrien
article
XXIVe Colloque Gretsi – Traitement du Signal et des Images, Sep 2013, Brest, France. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00931628/file/gretsi_sentieys_pasha_derrien_final.pdf BibTex
titre
Improving Modular Inversion in RNS using the Plus-Minus Method
auteur
Karim Bigou, Arnaud Tisserand
article
CHES – 15th Workshop on Cryptographic Hardware and Embedded Systems – 2013, Aug 2013, Santa Barbara, United States. pp.233-249, ⟨10.1007/978-3-642-40349-1_14⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00825745/file/article.pdf BibTex
titre
Power reconfigurable receiver model for energy-aware applications
auteur
Amine Didioui, Carolynn Bernier, Dominique Morche, Olivier Sentieys
article
IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS), Aug 2013, Colombus, United States. pp.800-803, ⟨10.1109/MWSCAS.2013.6674770⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00931775/file/Power_Reconfigurable_Receiver_Model_for_Energy-Aware_Applications_MWCAS13.pdf BibTex
titre
Using Model Types to Support Contract-Aware Model Substitutability
auteur
Sun Wuliang, Benoit Combemale, Steven Derrien, Robert France
article
9th European Conference on Modelling Foundations and Applications (ECMFA 2013), Jul 2013, Montpellier, France. pp.118-133, ⟨10.1007/978-3-642-39013-5_9⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00808770/file/ecmfa13-contractmatching.pdf BibTex
titre
On the design of coded MIMO systems
auteur
Mohamad Sayed Hassan, Ammar El Falou, Charlotte Langlais
article
ICCIT : the 3rd International Conference on Communications and Information Technology, Jun 2013, Beyrouth, Lebanon. pp.335-339
Accès au bibtex
BibTex
titre
Communication Cost Reduction For Hardware Tasks Placed on Homogeneous Reconfigurable Resource
auteur
Quang Hai Khuat
article
GDR SoC SiP, Jun 2013, Lyon, France
Accès au bibtex
BibTex
titre
Vers un language spécialisé pour la radio logicielle sur FPGA
auteur
Ganda Stephane Ouedraogo, Matthieu Gautier, Olivier Sentieys
article
Colloque national du GDR SoC-SiP, Jun 2013, Lyon, France. pp.2
Accès au texte intégral et bibtex
https://hal.science/hal-00922785/file/GDR_Soc_Sip.pdf BibTex
titre
Energy Monitor for Super Capacitor based Wireless Sensor Networks
auteur
Trong-Nhan Le, Alain Pegatoquet, Olivier Sentieys, Olivier Berder, Cécile Belleudy
article
Colloque GDR SoC-SiP (System On Chip – System In Package), Jun 2013, Lyon, France
Accès au bibtex
BibTex
titre
Crypto-processeur ECC en RNS sur FPGA avec inversion modulaire rapide
auteur
Karim Bigou, Arnaud Tisserand
article
Colloque national du GDR SoC-SiP – 2013, Jun 2013, Lyon, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00830610/file/article_colloque_gdrsoc13.pdf BibTex
titre
An FPGA Software Defined Radio Platform with a High-Level Synthesis Design Flow
auteur
Vaibhav Bhatnagar, Ganda Stephane Ouedraogo, Matthieu Gautier, Arnaud Carer, Olivier Sentieys
article
IEEE International Vehicular Technology conference (VTC-Spring13), Jun 2013, Dresden, Germany. pp.12
Accès au texte intégral et bibtex
https://hal.science/hal-00833554/file/Gautier_VTC13_HLS.pdf BibTex
titre
Signal detection using watermark insertion
auteur
Matthieu Gautier, Dominique Noguet
article
IEEE International Vehicular Technology conference (VTC-Spring13), Jun 2013, Dresden, France. pp.11
Accès au texte intégral et bibtex
https://hal.science/hal-00833552/file/Gautier_VTC13_Watermarking.pdf BibTex
titre
A Novel Hierarchical Low Complexity Synchronization Method for OFDM Systems
auteur
Pramod Udupa, Olivier Sentieys, Pascal Scalart
article
2013 IEEE 77th Vehicular Technology Conference (VTC Spring), Jun 2013, Dresden, Germany. pp.1-5, ⟨10.1109/VTCSpring.2013.6691838⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00931530/file/A_Novel_Hierarchical_Low_Complexity_Synchronization_Method_for_OFDM_Systems_VTC13.pdf BibTex
titre
Runtime dependency analysis for loop pipelining in High-Level Synthesis
auteur
Mythri Alle, Antoine Morvan, Steven Derrien
article
50th Design Automation Conference (DAC),, May 2013, Austin, United States
Accès au bibtex
BibTex
titre
Avancées sur l’utilisation de la représentation RNS pour la cryptographie sur courbes elliptiques
auteur
Karim Bigou
article
CRYPTO’PUCES – 2013, May 2013, Porquerolles, France
Accès au bibtex
BibTex
titre
On the efficiency of sphere decoding for linearly precoded MIMO systems
auteur
Hoa Nguyen Viet, Olivier Berder, Pascal Scalart
article
Wireless Communications and Networking Conference (WCNC), IEEE, Apr 2013, Shanghai, China. pp.4021-4025
Accès au bibtex
BibTex
titre
On-the-Fly Multi-Base Recoding for ECC Scalar Multiplication without Pre-Computations
auteur
Thomas Chabrier, Arnaud Tisserand
article
ARITH – 21st IEEE International Symposium on Computer Arithmetic, Apr 2013, Austin, TX, United States. pp.219-228, ⟨10.1109/ARITH.2013.17⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00772613/file/PID2635353.pdf BibTex
titre
An FPGA Configuration Stream Architecture Supporting Seamless Hardware Accelerator Migration
auteur
Christophe Huriaux, Olivier Sentieys, Antoine Courtay
article
ConfigComp’2013, Workshop on Reconfigurable Computing V2.0: The Next Generation of Technology, Architectures and Design Tools, held in conjunction to the DATE 2013 conference, Mar 2013, Grenoble, France
Accès au bibtex
BibTex
titre
Sensibilisation à la modélisation SART pour le développement de code temps réel
auteur
Daniel Chillet
article
CETSIS, l0ème Colloque sur l’Enseignement des. Technologies et des Sciences de l’Information et des Systèmes, Mar 2013, Caen, France
Accès au bibtex
BibTex
titre
Adaptive Filter for Energy Predictor in Energy Harvesting Wireless Sensor Networks
auteur
Trong-Nhan Le, Olivier Sentieys, Olivier Berder, Alain Pegatoquet, Cécile Belleudy
article
26th IEEE International Conference on Architecture of Computing Systems (ARCS), 3rd Workshop on Ultra Low Power (WUPS), Feb 2013, Prague, Czech Republic. pp.1-4
Accès au bibtex
BibTex
titre
On the Energy Savings of Adaptive Transmit Power for Wireless Sensor Networks Radio Transceivers
auteur
Muhammad Mahtab Alam, Olivier Berder, Daniel Menard, Olivier Sentieys
article
26th International Conference on Architecture of Computing Systems (ARCS), Feb 2013, Prague, Czech Republic
Accès au bibtex
BibTex
titre
Back to Results Prototyping an Energy Harvesting Wireless Sensor Network Application Using HarvWSNet
auteur
Florian Broekaert, Amine Didioui, Carolynn Bernier, Olivier Sentieys
article
Proceedings of 26th International Conference on Architecture of Computing Systems (ARCS), Feb 2013, Prague, Czech Republic. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00931782/file/Prototyping_an_Energy_Harvesting_Wireless_Sensor_Network_Application_Using_HarvWSNet_WUPS13.pdf BibTex
titre
Analytical approach to evaluate fixed point accuracy for an iteration of decision operators
auteur
Aymen Chakhari, Romuald Rocher, Pascal Scalart
article
2013 International Conference on Computer Applications Technology (ICCAT), Jan 2013, Sousse, Tunisia. pp.1-4, ⟨10.1109/ICCAT.2013.6521964⟩
Accès au bibtex
BibTex
titre
Ordonnancement spatio-temporel pour une architecture 3D composée d’une couche multiprocesseur et d’une couche ressource reconfigurables
auteur
Quang Hai Khuat, Quang Hoa Le, Daniel Chillet, Sébastien Pillement
article
Conférence d’informatique en Parallélisme, Architecture et Système, Jan 2013, Grenoble, France. pp.ComPAS’2013
Accès au bibtex
BibTex
titre
HarvWSNet: A co-simulation framework for energy harvesting wireless sensor networks
auteur
Amine Didioui, Carolynn Bernier, Dominique Morche, Olivier Sentieys
article
International Conference on Computing, Networking and Communications (ICNC), Jan 2013, San Diego, United States. pp.808-812, ⟨10.1109/ICCNC.2013.6504192⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00931772/file/HarvWSNet-_A_Co-Simulation_Framework_for_Energy_Harvesting_Wireless_Sensor_Networks_ICNC13.pdf BibTex
titre
Opérateur matériel de tests de divisibilité par des petites constantes sur de très grands entiers
auteur
Karim Bigou, Thomas Chabrier, Arnaud Tisserand
article
ComPAS’13 / SympA’15 – Symposium en Architectures nouvelles de machines, Jan 2013, Grenoble, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00772703/file/article-sympa2013.pdf BibTex
titre
A Block-Parallel Architecture for Initial and Fine Synchronization in OFDM Systems
auteur
Pramod Udupa, Olivier Sentieys, Pascal Scalart
article
IEEE International Conference on Communications (ICC), 2013, Budapest, Hungary. pp.4761-4765, ⟨10.1109/ICC.2013.6655326⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00931445/file/A_Block-Parallel_Architecture_for_Initial_and_Fine_Synchronization_in_OFDM_Systems_ICC13.pdf BibTex
titre
Aeolus: Mastering the Complexity of Cloud Application Deployment
auteur
Michel Catan, Roberto Di Cosmo, Antoine Eiche, Tudor Alexandru Lascu, Michael Lienhardt, Jacopo Mauro, Ralf Treinen, Stefano Zacchiroli, Gianluigi Zavattaro, Jakub Zwolakowski
article
ESOCC – European Conference on Service-Oriented and Cloud Computing – 2013, 2013, Malaga, Spain. pp.1-3, ⟨10.1007/978-3-642-40651-5_1⟩
Accès au bibtex
BibTex

Book sections

titre
Energy-Latency Tradeoff of Opportunistic Routing
auteur
R. Zhang, Olivier Berder, Olivier Sentieys
article
Isaac Woungang, Sanjay Kumar Dhurandher, Alagan anpalagan, Thanos Vasilakos (Eds.). Routing in Opportunistic Networks, Springer, pp., x-hal =, 2013
Accès au bibtex
BibTex
titre
Optimizing Energy Efficiency of Sensor Networks
auteur
Olivier Sentieys, Olivier Berder
article
Marc Belleville. Energy Autonomous Micro and Nano Systems, Wiley, 2013
Accès au bibtex
BibTex
titre
Efficacité énergétique : les technologies de l’information
auteur
Olivier Sentieys
article
Rémy Mosseri and Catherine Jeandel. L’énergie à découvert, CNRS Editions, pp.229-231, 2013, 978-2-271-07678-6
Accès au bibtex
BibTex

Books

titre
Architecture des ordinateurs
auteur
Loïc Lagadec, Sébastien Pillement, Arnaud Tisserand
article
Loïc Lagadec and Sébastien Pillement and Arnaud Tisserand. Hermes, 32, pp.150, 2013, Technique et science informatique, 9782746245679
Accès au bibtex
BibTex

Patents

titre
Procede et dispositif de détection d’une sous-bande de fréquence dans une bande de fréquence et équipement de communication comprenant un tel dispositif
auteur
Matthieu Gautier, Vincent Berg
article
N° de brevet: FR20120054118 20120504. 2013
Accès au bibtex
BibTex
titre
Method for Identifyng and Detecting a Radio Signal For a Cognitive Communication System
auteur
Matthieu Gautier, Dominique Noguet
article
United States, Patent n° : US Patent, 20130251014. 2013
Accès au bibtex
BibTex

Theses

titre
From dataflow-based video coding tools to dedicated embedded multi-core platforms
auteur
Hervé Yviquel
article
Other [cs.OH]. Université de Rennes, 2013. English. ⟨NNT : 2013REN1S095⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00939346/file/YVIQUEL_Herve.pdf BibTex
titre
Modélisation, exploration et estimation de la consommation pour les architectures hétérogènes reconfigurables dynamiquement
auteur
Robin Bonamy
article
Autre. Université de Rennes, 2013. Français. ⟨NNT : 2013REN1S061⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00931849/file/BONAMY_Robin.pdf BibTex
titre
Utilisation du modèle polyédrique pour la synthèse d’architectures pipelinées
auteur
Antoine Morvan
article
Autre [cs.OH]. École normale supérieure de Cachan – ENS Cachan, 2013. Français. ⟨NNT : 2013DENS0022⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00913692/file/Morvan2013.pdf BibTex
titre
Arithmetic recodings for ECC cryptoprocessors with protections against side-channel attacks
auteur
Thomas Chabrier
article
Other [cs.OH]. Université de Rennes, 2013. English. ⟨NNT : 2013REN1S064⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00910879/file/CHABRIER_Thomas.pdf BibTex
titre
Power-Aware Adaptive Techniques for Wireless Sensor Networks
auteur
Muhammad Mahtab Alam
article
Networking and Internet Architecture [cs.NI]. Université Rennes 1, 2013. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00931860/file/alam13phdthesis.pdf BibTex
titre
Contrôleurs reconfigurables ultra-faible consommation pour les réseaux de capteurs sans fil
auteur
Vivek Tovinakere Dwarakanath
article
Autre. Université de Rennes, 2013. Français. ⟨NNT : 2013REN1S018⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00859921/file/TOVINAKERE_DWARAKANATH_Vivek.pdf BibTex

Preprints, Working Papers, …

titre
Power Modeling and Exploration of Dynamically Reconfigurable Multicore Designs
auteur
Robin Bonamy, Sébastien Bilavarn, Daniel Chillet, Olivier Sentieys
article
2013
Accès au texte intégral et bibtex
https://hal.science/hal-01287838/file/article.pdf BibTex

2012

Journal articles

titre
A Discrete Model for Correlation Between Quantization Noises
auteur
Jean Charles Naud, Daniel Menard, Gabriel Caffarena, Olivier Sentieys
article
IEEE Transactions on Circuits and Systems Part 2 Analog and Digital Signal Processing, 2012
Accès au bibtex
BibTex
titre
Bridging the Chasm Between MDE and the World of Compilation
auteur
Jean-Marc Jézéquel, Benoit Combemale, Steven Derrien, Clément Guy, Sanjay Rajopadhye
article
Software and Systems Modeling, 2012, 11 (4), pp.581-597. ⟨10.1007/s10270-012-0266-8⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00717219/file/sosym-sle11.pdf BibTex
titre
Analytical Approach for Numerical Accuracy Estimation of Fixed-Point Systems Based on Smooth Operations
auteur
Romuald Rocher, Daniel Ménard, Olivier Sentieys, Pascal Scalart
article
IEEE Transactions on Circuits and Systems Part 1 Fundamental Theory and Applications, 2012, 59 (10), pp.2326 – 2339. ⟨10.1109/TCSI.2012.2188938⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00741741/file/ArticleVersionFinale.pdf BibTex
titre
Exact Custom Instruction Enumeration for Extensible Processors
auteur
Chenglong Xiao, Emmanuel Casseau
article
Integration, the VLSI Journal, 2012, 45 (2), pp.263-270. ⟨10.1016/j.vlsi.2011.11.011⟩
Accès au bibtex
BibTex
titre
Constraint Programming Approach to Reconfigurable Processor Extension Generation and Application Compilation
auteur
Kevin Martin, Christophe Wolinski, Krzysztof Kuchcinski, Antoine Floch, François Charot
article
ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2012, 5 (2), pp.10:1–10:38. ⟨10.1145/2209285.2209289⟩
Accès au bibtex
BibTex
titre
Quantization of VLSI digital signal processing systems
auteur
Gabriel Caffarena, Olivier Sentieys, Daniel Menard, Juan Antonio Lopez, David Novo
article
EURASIP Journal on Advances in Signal Processing, 2012, 2012, pp.1-2
Accès au bibtex
BibTex
titre
High-performance motion estimation operator using multimedia oriented subword parallelism
auteur
Shafqat Khan, Emmanuel Casseau
article
Journal of communication and computer, 2012, 9 (1), pp.1-14
Accès au bibtex
BibTex
titre
High-level synthesis under fixed-point accuracy constraint
auteur
Daniel Menard, Nicolas Herve, Olivier Sentieys, Nguyen Hai Nam
article
Journal of Electrical and Computer Engineering, 2012, ⟨10.1155/2012/906350⟩
Accès au bibtex
BibTex
titre
Design of multi-mode application-specific cores based on high-level synthesis
auteur
Emmanuel Casseau, Bertrand Le Gal
article
Integration, the VLSI Journal, 2012, 45 (1), pp.9-21. ⟨10.1016/j.vlsi.2011.07.003⟩
Accès au bibtex
BibTex
titre
Scheduling, Binding and Routing System for a Run-Time Reconfigurable Operator Based Multimedia Architecture
auteur
Erwan Raffin, Christophe Wolinski, François Charot, Emmanuel Casseau, Antoine Floch, Krzysztof Kuchcinski, Stéphane Chevobbe, Stéphane Guyetant
article
International Journal of Embedded and Real-Time Communication Systems, 2012, 3 (1), pp.1-30. ⟨10.4018/jertcs.2012010101⟩
Accès au bibtex
BibTex
titre
System-Level Synthesis for Wireless Sensor Node Controllers: A Complete Design Flow
auteur
Adeel Pasha, Steven Derrien, Olivier Sentieys
article
ACM Transactions on Design Automation of Electronic Systems, 2012, 17 (1), pp.2:1–2:24. ⟨10.1145/2071356.2071358⟩
Accès au bibtex
BibTex
titre
Parallel integrated circuits at Irisa in the 80’s
auteur
Patrice Frison, Patrice Quinton
article
Revue des Sciences et Technologies de l’Information – Série TSI : Technique et Science Informatiques, 2012, 31, pp.959-968. ⟨10.3166/tsi.31.959-968⟩
Accès au bibtex
BibTex
titre
Minimum Euclidean Distance Based Precoders for MIMO Systems Using Rectangular QAM Modulations
auteur
Q.T. Ngo, Olivier Berder, Pascal Scalart
article
IEEE Transactions on Signal Processing, 2012, 60 (3), pp.1527 -1533. ⟨10.1109/TSP.2011.2177972⟩
Accès au bibtex
BibTex
titre
Minimum Euclidean Distance Based Precoding for Three-Dimensional Multiple-Input Multiple-Ouput Spatial Multiplexing Systems
auteur
Quoc-Tuong Ngo, Olivier Berder, Pascal Scalart
article
IEEE Transactions on Wireless Communications, 2012, 11 (7), pp.2486 – 2495 x-editorial-board = yes, x-hal = x-international-audience = yes
Accès au bibtex
BibTex
titre
Energy-Delay Tradeoff in Wireless Multihop Networks with Unreliable Links
auteur
R. Zhang, Olivier Berder, J.M. Gorce, Olivier Sentieys
article
Ad Hoc Networks, 2012, 10 (7), pp.1306 -1321, x-editorial-board = yes, x-international-audience = yes
Accès au bibtex
BibTex
titre
TAD-MAC: Traffic-Aware Dynamic MAC Protocol for Wireless Body Area Sensor Networks
auteur
M.M. Alam, Olivier Berder, D. Menard, Olivier Sentieys
article
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2012, 2 (1), pp.109 -119. ⟨10.1109/JETCAS.2012.2187243⟩
Accès au bibtex
BibTex

Conference papers

titre
Spatio-Temporal Scheduling for 3D Reconfigurable \& Multiprocessor Architecture
auteur
Quang Hai Khuat, Quang Hoa Le, Daniel Chillet, Sébastien Pillement
article
International Design and Test Symposium, IDT 2012, University at Qatar, Dec 2012, Doha, Qatar
Accès au bibtex
BibTex
titre
Power Consumption Model for Partial Dynamic Reconfiguration
auteur
Robin Bonamy, Daniel Chillet, Sebastien Bilavarn, Olivier Sentieys
article
International Conference on ReConFigurable Computing and FPGA (RECONFIG’2012), Dec 2012, Cancun, Mexico. pp.1-8, ⟨10.1109/ReConFig.2012.6416772⟩
Accès au bibtex
BibTex
titre
An Overview of Design Problematics for Embedded Systems
auteur
Daniel Chillet
article
15th National Symposium on Selected ICT Problems, University of Science and Technology of Hanoi, Dec 2012, Hanoi, Vietnam
Accès au bibtex
BibTex
titre
Cardiac Monitoring of Marathon Runners using Disruption-Tolerant Wireless Sensors
auteur
Djamel Benferhat, Frédéric Guidec, Patrice Quinton
article
6th International Conference on Ubiquitous Computing and Ambient Intelligence (UCAmI’12), Dec 2012, Vitoria-Gasteiz, Spain. pp.395-402
Accès au texte intégral et bibtex
https://hal.science/hal-00763319/file/ucami12benferhat.pdf BibTex
titre
Power Manager with PID controller in Energy Harvesting Wireless Sensor Networks
auteur
T.-N. Le, Olivier Sentieys, O. Berder, Alain Pegatoquet, Cécile Belleudy
article
IEEE International Conference on Internet of Things, Workshop on energy and Wireless Sensors (e-WiSe), Nov 2012, Besançon, France. pp.668-670, ⟨10.1109/GreenCom.2012.107⟩
Accès au bibtex
BibTex
titre
Biomedical Monitoring of Non-Hospitalized Subjects using Disruption-Tolerant Wireless Sensors
auteur
Frédéric Guidec, Djamel Benferhat, Patrice Quinton
article
3rd International Conference on Wireless Mobile Communication and Healthcare (MobiHealth’12), Nov 2012, Paris, France. pp.11-19
Accès au texte intégral et bibtex
https://hal.science/hal-00763316/file/mobihealth12guidec.pdf BibTex
titre
Spatio-Temporal Scheduling for 3D Reconfigurable and Multiprocessor Architecture
auteur
Quang Hai Khuat, Quang Hoa Le, Daniel Chillet, Sébastien Pillement
article
Manifestation des Jeunes Chercheurs en Sciences et Technologies de l’Information et de la Communication, Oct 2012, Lille, France
Accès au bibtex
BibTex
titre
Noise Probability Density Function in Fixed-Point Systems based on smooth operators
auteur
Romuald Rocher, Pascal Scalart
article
DASIP, Oct 2012, Karksruhe, Germany
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00741824/file/opdec.pdf BibTex
titre
Analytical approach to evaluate the effect of the spread of quantization noise through the cascade of decision operators for spherical decoding
auteur
Aymen Chakhari, Karthick Parashar, Romuald Rocher, Pascal Scalart
article
DASIP, Oct 2012, Karlsruhe, Germany
Accès au bibtex
BibTex
titre
Open-People: an Open Platform for Estimation and Optimizations of energy consumption
auteur
Eric Senn, Daniel Chillet, Olivier Zendra, Cécile Belleudy, Rabie Ben Atitallah, Agnès Fritsch, Christian Samoyeau
article
Design and Architectures for Signal and Image Processing Conference (DASIP 2012), Oct 2012, Karlsruhe, Germany. ⟨10.1007/978-3-642-17752-1_26⟩
Accès au bibtex
BibTex
titre
GRECO : GREen Communicating Objects
auteur
O. Berder, Olivier Sentieys, T.-N. Le, R. Fontaine, Alain Pegatoquet, Cécile Belleudy, Michel Auguin, G. Jacquemod, William Tatinian, F. Broekaert, A. Didioui, C. Bernier, K. Ben Chehida, Sylvain Bourdel, Herve Barthelemy, Pascal Ciais, C. Barratt
article
IEEE Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2012, Karlsruhe, Germany. pp.1-2
Accès au bibtex
BibTex
titre
AN EXPERIMENTAL TOOLCHAIN BASED ON HIGH-LEVEL DATAFLOW MODELS OF COMPUTATION FOR HETEROGENEOUS MPSOC
auteur
Julien Heulot, Karol Desnos, Jean François Nezan, Maxime Pelcat, Mickaël Raulet, Hervé Yviquel, Pierre-Laurent Lagalaye, Jean-Christophe Le Lann
article
DASIP, Oct 2012, Karlsruhe, Germany
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-00749175/file/2012_DASIP_Julien_Heulot.pdf BibTex
titre
ROMA : reconfigurable operator based architecture for multimedia applications
auteur
Emmanuel Casseau
article
Int. Symposium on System-on-Chip, Oct 2012, Tampere, Finland
Accès au bibtex
BibTex
titre
GRECO : GREen Communicating Objects
auteur
O. Berder, Olivier Sentieys, Trong-Nhan Le, Alain Pegatoquet, Cécile Belleudy, F. Broekaert, C. Bernier, Sylvain Bourdel, K. Ben Chehida, C. Barratt
article
Forum SAME (Sophia Antipolis Microelectronics), Oct 2012, Sophia Antipolis, France
Accès au bibtex
BibTex
titre
Open-People: Open-Power and Energy Optimization PLatform and Estimator (Open-People) ANR Project
auteur
Eric Senn, Cécile Belleudy, Daniel Chillet, Rabie Ben Atitallah, Agnès Fritsch, Christian Samoyeau
article
Forum SAME (Sophia Antipolis Microelectronics), Oct 2012, Sophia Antipolis, France
Accès au bibtex
BibTex
titre
Gradient – An Adaptive Fault-tolerant Routing Algorithm for 2D Mesh Network-on-Chips
auteur
Istas Pratomo, Sébastien Pillement
article
Design and Architectures for Signal and Image Processing, Oct 2012, Karlsruhe, Germany
Accès au bibtex
BibTex
titre
Open-People: Open Power and Energy Optimization PLatform and Estimator
auteur
Eric Senn, Daniel Chillet, Olivier Zendra, Cécile Belleudy, Sebastien Bilavarn, Rabie Ben Atitallah, Christian Samoyeau, Agnès Fritsch
article
DSD 2012 – 15th Euromicro Conference on Digital System Design, Sep 2012, Çeşme, Izmir, Turkey. pp.668-675, ⟨10.1109/DSD.2012.98⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00741610/file/senn2012.pdf BibTex
titre
From Scilab To High Performance Embedded Multicore Systems – The ALMA Approach
auteur
Juergen Becker, Michael Huebner, Timo Stripf, Oliver Oey, Steven Derrien, Daniel Ménard, Olivier Sentieys, Gerard Rauwerda, Kim Sunesen, Dimitrios Kritharidis, Christos Valouxis, George Goulas, Panayiotis Alefragis, Nikolaos S. Voros, Grigoris Dimitroulakos, Nikolaos Mitas, Goehringer Diana
article
15th EUROMICRO Conference on Digital System Design, Sep 2012, Cesme, Izmir, Turkey. pp.??-??
Accès au bibtex
BibTex
titre
Disruption-Tolerant Wireless Sensor Networking for Biomedical Monitoring in Outdoor Conditions
auteur
Djamel Benferhat, Frédéric Guidec, Patrice Quinton
article
7th International Conference on Body Area Networks (BODYNETS’12), Sep 2012, Oslo, Norway. pp.13-19
Accès au texte intégral et bibtex
https://hal.science/hal-00763305/file/bodynets12benferhat.pdf BibTex
titre
GF(2^m) Finite-Field Multipliers with Reduced Activity Variations
auteur
Danuta Pamula, Arnaud Tisserand
article
WAIFI – 4th International Workshop on Arithmetic of Finite Fields, Jul 2012, Bochum, Germany. pp.152-167, ⟨10.1007/978-3-642-31662-3_11⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00716655/file/waifi12.pdf BibTex
titre
On Model Subtyping
auteur
Clément Guy, Benoit Combemale, Steven Derrien, James Steel, Jean-Marc Jézéquel
article
ECMFA – 8th European Conference on Modelling Foundations and Applications, Jul 2012, Kgs. Lyngby, Denmark
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00695034/file/ECMFA2012-OnModelSubtyping.pdf BibTex
titre
Towards Future Adaptive Multiprocessor Systems-On-Chip: an Innovative Approach for Flexible Architectures
auteur
Fabrice Lemonnier, P. Millet, Gabriel Marchesan Almeida, Michael Huebner, Jurgen Becker, Sébastien Pillement, Olivier Sentieys, Martijn Koedam, S. Sinha, Kees Goossens, C. Piguet, M. Morgan, Romain Lemaire
article
International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Jul 2012, Samos, Greece
Accès au bibtex
BibTex
titre
A Flexible Approach for Compiling Scilab to Reconfigurable Multi-Core Embedded Systems
auteur
Timo Stripf, Oliver Oey, Thomas Bruckschloegl, Ralf Koenig, Michael Huebner, George Goulas, Panayiotis Alefragis, Nikolaos S. Voros, Gerard Rauwerda, Kim Sunesen, Steven Derrien, Daniel Menard, Olivier Sentieys, Nikolaos Kavvadias, Grigoris Dimitroulakos, Kostas Masselos, Diana Goehringer, Thomas Perschke, Dimitrios Kritharidis, Nikolaos Mitas, Juergen Becker
article
International Workshop on Reconfigurable Communication-centric Systems-on-Chip, Jul 2012, york, United Kingdom. pp.1-8
Accès au bibtex
BibTex
titre
Impact of Design Parameters on Performance of Adaptive Network-on-Chips
auteur
Istas Pratomo, Sébastien Pillement
article
Dynamic Reconfigurable Network-on-Chip, Jul 2012, Madrid, Spain
Accès au bibtex
BibTex
titre
From Scilab to Multicore Embedded Systems: Algorithms and Methodologies
auteur
George Goulas, Panayiotis Alefragis, Nikolaos S. Voros, Christos Valouxis, Christos Gogos, Nikolaos Nikolaos, Grigoris Dimitroulakos, Kostas Masselos, Goehringer Diana, Steven Derrien, Olivier Sentieys, Daniel Ménard, Michael Huebner, Timo Stripf, Oliver Oey, Becker Juergen, Gerard Rauwerda, Kim Sunesen, Dimitrios Kritharidis, Nikolaos Mitas
article
Proceedings of the IEEE International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (IC-SAMOS 2012, Jul 2012, Samos, Greece
Accès au bibtex
BibTex
titre
Wideband frequency domain detection using Teager-Kaiser energy operator
auteur
Matthieu Gautier, Vincent Berg, Dominique Noguet
article
IEEE 5th International Conference on Cognitive Radio Oriented Wireless Networks and Communications (Crowncom’12), Jun 2012, Stockholm, Sweden
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00742528/file/TK_version_finale.pdf BibTex
titre
Estimation et modélisation de la consommation des architectures reconfigurables et du concept de reconfiguration dynamique
auteur
Daniel Chillet
article
Colloque GDR SoC SiP, LIP6, Jun 2012, Paris, France
Accès au bibtex
BibTex
titre
Spatio-Temporal Scheduling for 3D Reconfigurable & Multiprocessor Architecture
auteur
Quang Hai Khuat, Quang Hoa Le, Daniel Chillet, Sébastien Pillement
article
Colloque National GDR SoC SiP, Lip6, Jun 2012, Paris, France
Accès au bibtex
BibTex
titre
A semiempirical model for wakeup time estimation in power-gated logic clusters
auteur
Vivek Tovinakere Dwarakanath, Olivier Sentieys, Steven Derrien
article
The 49th Annual Design Automation Conference 2012, DAC ’12, San Francisco, CA, USA, June 3-7, 2012, Jun 2012, San Fransisco, United States. pp.48-55
Accès au bibtex
BibTex
titre
Circuits for True Random Number Generation with On-Line Quality Monitoring
auteur
Arnaud Tisserand
article
Rencontres Arithmetique de l’Informatique Mathématique, Jun 2012, Dijon, France
Accès au bibtex
BibTex
titre
GRECO : Power Management and Protocol-Level Energy Reduction Techniques
auteur
Alain Pegatoquet, Olivier Sentieys
article
Ecole Thématique Conception Faible Consommation (EcoFac), May 2012, La Colle sur loup, France
Accès au bibtex
BibTex
titre
Latency-Energy Optimized MAC Protocol for Body Sensor Networks
auteur
M.M. Alam, Olivier Berder, D. Menard, Olivier Sentieys
article
Ninth International Conference on Wearable and Implantable Body Sensor Networks (BSN), May 2012, London, United Kingdom. pp.67 -72, ⟨10.1109/BSN.2012.8⟩
Accès au bibtex
BibTex
titre
Analysis of GF(2^233) Multipliers Regarding Elliptic Curve Cryptosystem Applications
auteur
Danuta Pamula, Edward Hrynkiewicz, Arnaud Tisserand
article
PDeS – 11th IFAC/IEEE International Conference on Programmable Devices and Embedded Systems, May 2012, Brno, Czech Republic. pp.252-257, ⟨10.3182/20120523-3-CZ-3015.00052⟩
Accès au bibtex
BibTex
titre
UPaRC: Ultra-Fast Power-aware Reconfiguration Controller
auteur
Robin Bonamy, Hung-Manh Pham, Sébastien Pillement, Daniel Chillet
article
Design, Automation and Test in Europe Conference, Mar 2012, Dresden, Germany. pp.1373-1378
Accès au bibtex
BibTex
titre
Power-Aware Ultra-Rapid Reconfiguration Controller
auteur
Robin Bonamy, Hung-Manh Pham, Sébastien Pillement, Daniel Chillet
article
International Conference on Design and Test in Europe, 2012, Dresde, Germany
Accès au bibtex
BibTex

Book sections

titre
Architectures reconfigurables FPGA
auteur
Olivier Sentieys, Arnaud Tisserand
article
Techniques de l’Ingénieur. Technologies logicielles Architectures des systèmes, H 1 196, Techniques de l’Ingénieur, pp.1-22, 2012
Accès au bibtex
BibTex
titre
Optimisation énergétique des réseaux de capteurs
auteur
Olivier Sentieys, Olivier Berder
article
Marc Belleville. Micro et Nanosystèmes autonomes en énergie, Hermès – Lavoisier, pp.325-360, 2012
Accès au bibtex
BibTex

Poster communications

titre
Design of Fixed-Point Embedded Systems (defis) French ANR Project
auteur
Daniel Ménard, Romuald Rocher, Olivier Sentieys, Nicolas Simon, Laurent-Stéphane Didier, Thibault Hilaire, Benoît Lopez, Eric Goubault, Sylvie Putot, Franck Vedrine, Mohamed Amine Najahi, Guillaume Revy, Laurent Fangain, Christian Samoyeau, Fabrice Lemonnier, Christophe Clienti
article
DASIP: Design and Architectures for Signal and Image Processing, Oct 2012, Karlsruhe, Germany. , pp.365-366, 2012
Accès au bibtex
BibTex

Reports

titre
Numerical Accuracy Evaluation for Polynomial Computation
auteur
Naud Jean-Charles, Daniel Menard
article
[Research Report] RR-7878, INRIA. 2012, pp.20
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00672654/file/RR-7878.pdf BibTex

Theses

titre
System-level approaches for fixed-point refinement of signal processing algorithms
auteur
Karthick Parashar
article
Signal and Image processing. Université Rennes 1, 2012. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00783806/file/Thesis_KarthickParashar.pdf BibTex
titre
Arithmetic operators on GF(2m) for cryptographic applications: performance – power consumption – security tradeoffs
auteur
Danuta Pamula
article
Computer Arithmetic. Université Rennes 1, 2012. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00767537/file/these-danuta-pamula.pdf BibTex
titre
Energy-efficient cooperative relay protocols for wireless sensor networks
auteur
Le-Quang-Vinh Tran
article
Signal and Image processing. Université Rennes 1, 2012. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00828261/file/vinh12phd_final.pdf BibTex
titre
Custom Operator Identification for High-level Synthesis
auteur
Chenglong Xiao
article
Electronics. Université Rennes 1, 2012. English. ⟨NNT : 2012REN1E005⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00759040/file/Thesis_C_Xiao.pdf BibTex
titre
Ordonnancement temps réel pour architectures hétérogènes reconfigurables basé sur des structures de réseaux de neurones
auteur
Antoine Eiche
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2012. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00783893/file/DocumentTheseAntoineEiche.pdf BibTex
titre
Compilation optimisante pour processeurs extensibles
auteur
Antoine Floc’H
article
Architectures Matérielles [cs.AR]. Université Rennes 1, 2012. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00726420/file/these.pdf BibTex
titre
Acceleration of a bioinformatics application using high-level synthesis
auteur
Naeem Abbas
article
Other [cs.OH]. École normale supérieure de Cachan – ENS Cachan, 2012. English. ⟨NNT : 2012DENS0019⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00847076/file/Abbas2012.pdf BibTex
titre
A Stochastic Approach For The Range Evaluation
auteur
Andrei Banciu
article
Signal and Image processing. Université Rennes 1, 2012. English. ⟨NNT : 2012REN1E002⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00768862/file/manuscrit_definitif.pdf BibTex
titre
Généralisation des précodeurs MIMO basés sur la distance euclidienne minimale
auteur
Quoc-Tuong Ngo
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2012. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00839594/file/ngo12phd.pdf BibTex

Preprints, Working Papers, …

titre
Hardware Acceleration of Real-Life Applications: from Theory to Implementation
auteur
Sébastien Bilavarn, Taheni Damak, Robin Bonamy
article
2012
Accès au texte intégral et bibtex
https://hal.science/hal-01287829/file/article.pdf BibTex

2011

Journal articles

titre
Real-time scheduling on heterogeneous system-on-chip architectures using an optimised artificial neural network
auteur
Daniel Chillet, Antoine Eiche, Sébastien Pillement, Olivier Sentieys
article
Journal of Systems Architecture, 2011, 57, pp.340-353. ⟨10.1016/j.sysarc.2011.01.004⟩
Accès au bibtex
BibTex
titre
Word-Length Aware DSP Hardware Design Flow Based on High-Level Synthesis
auteur
Bertrand Le Gal, Emmanuel Casseau
article
Journal of Signal Processing Systems, 2011, 2011 (63(1)), pp.341-357. ⟨10.1007/s11265-010-0467-8⟩
Accès au bibtex
BibTex
titre
High-resolution simultaneous voltage and Ca2+ imaging.
auteur
Kaspar E. Vogt, Stephan Gerharz, Jeremy Graham, Marco Canepari
article
The Journal of Physiology, 2011, 589 (Pt 3), pp.489-94. ⟨10.1113/jphysiol.2010.200220⟩
Accès au texte intégral et bibtex
https://inserm.hal.science/inserm-00585501/file/Vogt_etal_revised.pdf BibTex
titre
Latency-Sensitive High-Level Synthesis for Multiple Word-Length DSP Design
auteur
Bertrand Le Gal, Emmanuel Casseau
article
EURASIP Journal on Advances in Signal Processing, 2011, 2011, pp.11. ⟨10.1155/2011/927670⟩
Accès au bibtex
BibTex
titre
Reconfigurable ECU communications in AUTOSAR Environment
auteur
Hung-Manh Pham, Sébastien Pillement
article
Ingénieurs de l’Automobile, 2011, 813
Accès au bibtex
BibTex
titre
Energy-Efficient Cooperative Techniques for Infrastructure-to-Vehicle Communications
auteur
Tuan-Duc Nguyen, Olivier Berder, Olivier Sentieys
article
IEEE Transactions on Intelligent Transportation Systems, 2011, 12 (3), pp.659 -668. ⟨10.1109/TITS.2011.2118754⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00741553/file/nguyen10ieeetitsfinal.pdf BibTex
titre
Lower Bound of Energy-Latency Trade-off of Opportunistic Routing in Multi-hop Networks
auteur
Ruifeng Zhang, Jean-Marie Gorce, Olivier Berder, Olivier Sentieys
article
EURASIP Journal on Wireless Communications and Networking, 2011, 2011 (Article ID 265083), pp.17. ⟨10.1155/2011/265083⟩
Accès au bibtex
BibTex
titre
A Polynomial Based Approach to Wakeup Time and Energy Estimation in Power-Gated Logic Clusters
auteur
Vivek D. Tovinakere, Olivier Sentieys, Steven Derrien
article
Journal of Low Power Electronics, 2011, 7 (4), pp.482-489. ⟨10.1166/jolpe.2011.1159⟩
Accès au bibtex
BibTex
titre
AADL Extension to Model Classical FPGA and FPGA Embedded within a SoC
auteur
Dominique Blouin, Daniel Chillet, Eric Senn, Sebastien Bilavarn, Robin Bonamy, Christian Samoyeau
article
International Journal of Reconfigurable Computing, 2011, Article ID 425401, 15 p. ⟨10.1155/2011/425401⟩
Accès au bibtex
BibTex
titre
A Hybrid Model for Accurate Energy Analysis of WSN nodes
auteur
Muhammad Mahtab Alam, Olivier Berder, Daniel Menard, Thomas Anger, Olivier Sentieys
article
EURASIP Journal on Embedded Systems, 2011
Accès au bibtex
BibTex
titre
Analiza algorytmow mnozenia w ciele GF(2^m)
auteur
Danuta Pamula, Edward Hrynkiewicz, Arnaud Tisserand
article
Pomiary, Automatyka, Kontrola = Measurement, Automation and Monitoring , 2011, 57 (1), pp.58-60
Accès au bibtex
BibTex
titre
Combining Membrane Potential Imaging with l-Glutamate or GABA Photorelease.
auteur
Kaspar E. Vogt, Stephan Gerharz, Jeremy Graham, Marco Canepari
article
PLoS ONE, 2011, 6 (10), pp.e24911. ⟨10.1371/journal.pone.0024911⟩
Accès au texte intégral et bibtex
https://inserm.hal.science/inserm-00638901/file/journal.pone.0024911.pdf BibTex

Conference papers

titre
Efficient Nested Loop Pipelining in High Level Synthesis using Polyhedral Bubble Insertion
auteur
Antoine Morvan, Steven Derrien, Patrice Quinton
article
IEEE International Conference on Field-Programmable Technology (FPT’11), Dec 2011, New Delhi, India. ⟨10.1109/FPT.2011.6132715⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00746434/file/fpt.pdf BibTex
titre
Hardware OS Communication Service and Dynamic Hardware OS Communication Service and Dynamic Memory Management for RSoCs
auteur
Surya Narayanan, Daniel Chillet, Sébastien Pillement, Ionnis Sourdis
article
International Conference on ReConFigurable Computing and FPGAs, Nov 2011, Cancun, Mexico
Accès au bibtex
BibTex
titre
Traffic-Aware Adaptive Wake-Up-Interval for Preamble Sampling MAC Protocols of WSN
auteur
Mahtab Alam, Olivier Berder, Daniel Menard, Olivier Sentieys
article
Proc. of the International Workshop on Cross-Layer Design (IWCLD), Nov 2011, Rennes, France
Accès au bibtex
BibTex
titre
A framework for the disign of reconfigurable fault tolerant architectures
auteur
Olivier Pasquier, Sébastien Le Nours, Sébastien Pillement, M. Pham
article
Conference on Design and Architectures for Signal and Image Processing, Nov 2011, Tampere, Finland. pp.2011
Accès au bibtex
BibTex
titre
Efficient Maximal Convex Custom Instruction Enumeration for Extensible Processors
auteur
Emmanuel Casseau, Chenglong Xiao
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Nov 2011, Tampere, Finland
Accès au bibtex
BibTex
titre
Designing Processors Using MAsS, a Modular and Lightweight Instruction-level Exploration Tool
auteur
Matthieu Texier, Erwan Piriou, Mathieu Thevenin, Raphaël David
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Nov 2011, Tampere, Finland
Accès au bibtex
BibTex
titre
Graphic Rendering Application Profiling on a Shared Memory MPSoC Architecture
auteur
Matthieu Texier, Raphaël David, Karim Ben Chehida, Olivier Sentieys
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Nov 2011, Tampere, Finland
Accès au bibtex
BibTex
titre
Parallel Evaluation of Hopfield Neural Networks
auteur
Antoine Eiche, Daniel Chillet, Sébastien Pillement, Olivier Sentieys
article
NCTA, International Conference on Neural Computation Theory and Applications, Oct 2011, Paris, France
Accès au bibtex
BibTex
titre
JSimRisc : un outil pédagogique pour appréhender le fonctionnement pipeline et quelques techniques avancées mises en oeuvre dans les processeurs récents
auteur
Daniel Chillet
article
Colloque sur l’Enseignement des Technologies et des Sciences de l’Information et des Systèmes, Oct 2011, Trois rivières, Canada
Accès au bibtex
BibTex
titre
Model-Driven Engineering and Optimizing Compilers: A bridge too far?
auteur
Antoine Floch, Tomofumi Yuki, Clément Guy, Steven Derrien, Benoit Combemale, Sanjay Rajopadhye, Robert France
article
International Conference on Model Driven Engineering Languages and Systems, Oct 2011, Wellington, New Zealand
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00613575/file/models11-application_1_.pdf BibTex
titre
Open-People: Open-Power and Energy Optimization Platform and Estimator
auteur
Eric Senn, Daniel Chillet, Olivier Zendra, Cécile Belleudy, Sébastien Bilavarn, Rabie Ben Atitallah, Agnès Fritsch, Christian Samoyeau
article
Forum SAME 2011 – Sophia Antipolis Microelectronics, Oct 2011, Sophia Antipolis, France
Accès au bibtex
BibTex
titre
Stochastic Modeling for Floating-point to Fixed-point Conversion
auteur
Emmanuel Casseau, Andrei Banciu, Daniel Menard, Thierry Michel
article
IEEE Workshop on Signal Processing Systems (SiPS), Oct 2011, Beirut, Lebanon. pp.180-185
Accès au bibtex
BibTex
titre
Efficient multicore scheduling of dataflow process networks
auteur
Hervé Yviquel, Emmanuel Casseau, Matthieu Wipliez, Mickaël Raulet
article
IEEE Workshop on Signal Processing Systems (SiPS), Oct 2011, Beyrouth, Lebanon. pp.198 – 203, ⟨10.1109/SiPS.2011.6088974⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00687750/file/yviquel.pdf BibTex
titre
Communication Service for hardware tasks executed on dynamic and partially reconfigurable substrate
auteur
Surya Narayanan, Ludovic Devaux, Daniel Chillet, Sébastien Pillement, Ionnis Sourdis
article
VLSI-SOC, International Conference on Very Large Scale Integration, Oct 2011, Hong-Kong, China
Accès au bibtex
BibTex
titre
Disruption-Tolerant Wireless Biomedical Monitoring for Marathon Runners: a Feasibility Study
auteur
Djamel Benferhat, Frédéric Guidec, Patrice Quinton
article
1st International Workshop on Opportunistic and Delay/Disruption-Tolerant Networking (WODTN’11), in conjunction with the 14th International Symposium on Wireless Personal Multimedia Communications (WPMC’11), Oct 2011, Brest, France. pp.1-5
Accès au texte intégral et bibtex
https://hal.science/hal-00648467/file/CoMoBioS_wodtn11.pdf BibTex
titre
Open power and energy optimization platform and estimator (open-people)
auteur
Daniel Chillet, Eric Senn, Cécile Belleudy, Rabie Ben Atitallah, Olivier Zendra, Agnes Fritsch
article
International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 2011), Sep 2011, Madrid, Spain
Accès au bibtex
BibTex
titre
Efficient custom instruction enumeration for extensible processors
auteur
Emmanuel Casseau, Chenglong Xiao
article
IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP), Sep 2011, Santa Monica, United States. pp.211-214
Accès au bibtex
BibTex
titre
Exploitation du concept de tolérance aux fautes des réseaux de neurones pour la résolution de problèmes d’optimisation
auteur
Daniel Chillet, Antoine Eiche, Sébastien Pillement, Olivier Sentieys
article
Gretsi, Sep 2011, Bordeaux, France
Accès au bibtex
BibTex
titre
Influence du nombre de symboles voisins sur les performances des systèmes MIMO précodés par le critère de la distance minimale
auteur
Quoc-Tuong Ngo, Olivier Berder, Pascal Scalart
article
XXIIIe Colloque GRETSI – Traitement du Signal et des Images, Sep 2011, Bordeaux, France
Accès au bibtex
BibTex
titre
Efficacités spectrale et énergétique des systèmes de relais
auteur
Le-Quang-Vinh Tran, Olivier Berder, Olivier Sentieys
article
XXIIIe Colloque GRETSI – Traitement du Signal et des Images, Sep 2011, Bordeaux, France
Accès au bibtex
BibTex
titre
Fixed-point Accuracy Evaluation in the Context of Conditional Structures
auteur
Jean-Charles Naud, Quentin L. Meunier, Daniel Ménard, Olivier Sentieys
article
19th European Signal Processing Conference (EUSIPCO), Sep 2011, Barcelona, Spain
Accès au bibtex
BibTex
titre
A Comparison on FPGA of Modular Multipliers Suitable for Elliptic Curve Cryptography over GF(p) for Specific p Values
auteur
Mark Hamilton, William P. Marnane, Arnaud Tisserand
article
21st International Conference on Field Programmable Logic and Applications (FPL), Sep 2011, Chania, Greece. pp.273-276, ⟨10.1109/FPL.2011.55⟩
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00633200/file/fpl11.pdf BibTex
titre
Approche hiérarchique pour l’optimisation de la précision des systèmes de traitement du signal utilisant l’arithmétique virgule fixe
auteur
Karthick Parashar, Olivier Sentieys, Daniel Ménard
article
XXIIIe Colloque GRETSI – Traitement du Signal et des Images, Sep 2011, Bordeaux, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00747603/file/c_sentieys490.pdf BibTex
titre
Neighbor-dmin Precoder for Three Data-Stream MIMO Systems
auteur
Quoc-Tuong Ngo, Olivier Berder, Pascal Scalart
article
19th European Signal Processing Conference (EUSIPCO), Aug 2011, Barcelona, Spain. 81-85, x-proceedings = yes, x-international-audience = yes, x-editorial-board = yes, x-invited-confe
Accès au bibtex
BibTex
titre
Highlighting the influence of artifacts signals on the equilibrium state of the feedback structure
auteur
Pascal Scalart, Ludovick Lepauloux
article
European Signal Processing Conference, Aug 2011, Barcelona, Spain
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00636259/file/EUSIPCO2011_final_Lepauloux.pdf BibTex
titre
Novel Algorithms for Word-length Optimization
auteur
Nguyen Hai Nam, Daniel Menard, Olivier Sentieys
article
19th European Signal Processing Conference (EUSIPCO-2011), Aug 2011, Barcelona, Spain
Accès au bibtex
BibTex
titre
Quantization Mode Opportunities in Fixed-Point System Design
auteur
Daniel Menard, David Novo, Romuald Rocher, Francky Catthoor, Olivier Sentieys
article
18th European Signal Processing Conference (EUSIPCO-2010) (2010), EURASIP, Aug 2011, Aalborg, Denmark. pp.542-546
Accès au bibtex
BibTex
titre
Towards a power and energy efficient use of partial dynamic reconfiguration
auteur
Robin Bonamy, Daniel Chillet, Olivier Sentieys, Sebastien Bilavarn
article
Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Workshop, Jun 2011, Montpellier, France. ⟨10.1109/ReCoSoC.2011.5981540⟩
Accès au bibtex
BibTex
titre
Towards a Power and energy Efficient Use of Partial Dynamic Reconfiguration
auteur
Robin Bonamy, Daniel Chillet, Sebastien Bilavarn, Olivier Sentieys
article
Colloque GDR SoC/SiP (System On Chip – System In Package), Jun 2011, Lyon, France
Accès au bibtex
BibTex
titre
Vers un rapprochement de l’IDM et de la compilation
auteur
Clément Guy, Steven Derrien, Benoit Combemale, Jean-Marc Jézéquel
article
Journées sur l’Ingénierie Dirigée par les Modèles, Jun 2011, Lille, France
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00601670/file/IDM2011-CrossFertilization.pdf BibTex
titre
FPGA modeling for SoC design exploration
auteur
Dominique Blouin, Eric Senn, Robin Bonamy, Daniel Chillet, Sebastien Bilavarn, Christian Samoyeau
article
HEART (International Workshop on Highly-Efficient Accelerators and Reconfigurable Technologies, Imperial college, Jun 2011, London, United Kingdom
Accès au bibtex
BibTex
titre
Parallelism Level Impact on Energy Consumption in Reconfigurable Devices
auteur
Robin Bonamy, Daniel Chillet, Sebastien Bilavarn, Olivier Sentieys
article
HEART (International Workshop on Highly-Efficient Accelerators and Reconfigurable Technologies), Imperial college, Jun 2011, London, United Kingdom. pp.104-105
Accès au bibtex
BibTex
titre
Exploiting Reconfigurable SWP Operators for Multimedia Applications
auteur
Daniel Menard, Nguyen Hai Nam, François Charot, Stéphane Guyetant, Jérémie Guillot, Erwan Raffin, Emmanuel Casseau
article
ICASSP: International Conference on Acoustics, Speech and Signal Processing, May 2011, Prague, Czech Republic
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00567017/file/b621573651a46cc98ce749db43bfe807567b.pdf BibTex
titre
Evaluation de la précision en virgule fixe dans le cas des structures conditionnelles
auteur
Naud Jean-Charles, Daniel Menard, Quentin L. Meunier, Olivier Sentieys
article
14th Symposium en Architecture (SympA’11), May 2011, Saint Malo, France
Accès au bibtex
BibTex
titre
Implémentation matérielle d’un réseau de neurones pour l’ordonnancement de tâches sur architectures multi-processeur hétérogènes
auteur
Alexis Pasturel, Antoine Eiche, Daniel Chillet, Sébastien Pillement, Olivier Sentieys
article
Symposium Architectures Nouvelles de Machines, May 2011, Saint Malo, France
Accès au bibtex
BibTex
titre
Modélisation et implémentation de calculateurs reconfigurables tolérants aux fautes et communications flexibles intra-véhicules
auteur
Hung-Manh Pham, Sébastien Pillement, Olivier Pasquier, Sébastien Le Nours
article
Symposium en Architectures nouvelles de machines, May 2011, Saint Malo, France. pp.2011
Accès au bibtex
BibTex
titre
Sélection d’instructions et ordonnancement parallèle simultanés pour la conception de processeurs spécialisés
auteur
Antoine Floch, François Charot, Steven Derrien, Kevin Martin, Antoine Morvan, Christophe Wolinski
article
Symposium en Architecture de Machines (Sympa’14), May 2011, St Malo, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00640999/file/SympA2011.pdf BibTex
titre
An efficient algorithm for custom instruction enumeration
auteur
Emmanuel Casseau, Chenglong Xiao
article
Great lakes symposium on VLSI, May 2011, Lausanne, Switzerland
Accès au bibtex
BibTex
titre
Hardware Random Recoding: Redundant Representations of Numbers, Side Channel Analysis, Elliptic Curve Cryptography
auteur
Thomas Chabrier, Danuta Pamula, Arnaud Tisserand
article
Journées “Codage et Cryptographie”, Apr 2011, St Pierre d’Oléron, France
Accès au bibtex
BibTex
titre
Non-Regenerative Full Distributed Space-Time Codes in Cooperative Relaying Networks
auteur
Le-Quang-Vinh Tran, Olivier Berder, Olivier Sentieys
article
Proc. of the IEEE International Wireless Communications and Networking Conference (WCNC), Mar 2011, Cancun, Mexico, France. pp.1529 – 1533
Accès au texte intégral et bibtex
https://hal.science/hal-00746408/file/tran11wcnc_Non-Regenerative_Full_Distributed_Space-Time_Codes_in_Cooperative_Relaying_Networks.pdf BibTex
titre
Reducing the number of neighbors in the received constellation of dmin precoded MIMO systems
auteur
Quoc-Tuong Ngo, Olivier Berder, Pascal Scalart
article
Proc. of the IEEE Conference on Wireless Communications and Networking Conference (WCNC), Mar 2011, Cancun, Mexico, France. pp.1635 -1639
Accès au texte intégral et bibtex
https://hal.science/hal-00746409/file/ngo11wcnc_Reducing_the_number_of_neighbors_in_received_constellation_of_dmin_precoded_MIMO_systems.pdf BibTex
titre
Open Power and Energy Optimization Platform and Estimator (Open-People) ANR Project
auteur
Eric Senn, Jérémie Guillot, Daniel Chillet, Cécile Belleudy, Smail Niar, Olivier Zendra, Christian Samoyeau
article
DATE 2011 – Design, Automation & Test in Europe, Mar 2011, Grenoble, France
Accès au bibtex
BibTex
titre
Accurate Energy Consumption Evaluation of Preamble Sampling MAC Protocols for WSN
auteur
Mahtab Alam, Olivier Berder, Daniel Menard, Olivier Sentieys
article
Proc. of the Workshop on Ultra-Low Power Sensor Networks (WUPS), co-located with Int. Conf. on Architecture of Computing Systems (ARCS), Feb 2011, Como, Italy, Italy
Accès au bibtex
BibTex
titre
HLS Tools for FPGA : faster development with better performances
auteur
Alexandre Cornu, Steven Derrien, Dominique Lavenier
article
Proceeding of the 7th International Symposium on Applied Reconfigurable Computing, Feb 2011, Belfast, United Kingdom. pp.67-78
Accès au texte intégral et bibtex
https://hal.science/hal-00637830/file/Lav11ca.pdf BibTex
titre
Spectral efficiency and energy efficiency of distributed space-time relaying models
auteur
Le-Quang-Vinh Tran, Olivier Berder, Olivier Sentieys
article
Proc. of the IEEE Conference on Consumer Communications and Networking Conference (CCNC), Jan 2011, Las Vegas, US, United States. pp.1088 -1092
Accès au bibtex
BibTex
titre
Wakeup Time and Wakeup Energy Estimation in Power-Gated Logic Clusters
auteur
Vivek Tovinakere Dwarakanath, Olivier Sentieys, Steven Derrien
article
24th International Conference on VLSI Design, Jan 2011, Chennai, India
Accès au bibtex
BibTex
titre
Error Recovery Technique for Coarse-Grained Reconfigurable Architectures
auteur
Muhammad Moazam Azeem, Stanislaw Piestrak, Olivier Sentieys, Sébastien Pillement
article
IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2011, Cottbus, Germany
Accès au bibtex
BibTex
titre
A unified hardware/software co-synthesis solution for signal processing systems
auteur
Endri Bezati, Hervé Yviquel, Mickaël Raulet, Marco Mattavelli
article
Design and Architectures for Signal and Image Processing (DASIP), 2011 Conference on, 2011, France. pp.1 -6, ⟨10.1109/DASIP.2011.6136877⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00717244/file/dasip2011-main.pdf BibTex
titre
Just-in-time adaptive decoder engine: a universal video decoder based on MPEG RVC
auteur
Jérôme Gorin, Hervé Yviquel, Françoise Prêteux, Mickaël Raulet
article
Proceedings of the 19th ACM international conference on Multimedia, 2011, New York, NY, USA, France. pp.711–714, ⟨10.1145/2072298.2072426⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00717339/file/osc80a-gorin.pdf BibTex
titre
A Framework for the Design of Reconfigurable Fault Tolerant Architectures
auteur
Hung-Manh Pham, Sébastien Pillement, Sébastien Le Nours, Olivier Pasquier
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), 2011, Tampere, Finland
Accès au bibtex
BibTex
titre
Design of multi-residue generators using shared logic
auteur
Stanislaw Piestrak
article
IEEE International Symposium on Circuits and Systems (ISCAS), 2011, Rio de Janeiro, Brazil
Accès au bibtex
BibTex
titre
Re2DA: Reliable and Reconfigurable Dynamic Architectures
auteur
Hung-Manh Pham, Ludovic Devaux, Sébastien Pillement
article
Workshop on Reconfigurable Communication-Centric SoCs, 2011, Montpellier, France
Accès au bibtex
BibTex
titre
Fast and energy-efficient constant-coefficient FIR filters using residue number system
auteur
Piotr Patronik, Krzysztof Berezowski, Stanislaw Piestrak, Janusz Biernat, Aviral Shrivastava
article
17th IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED’11), 2011, Fukuoka, Japan
Accès au bibtex
BibTex
titre
A design methodology for specification and performances evaluation of Network On Chip
auteur
Djamel Adrouche, Rabah Sadoun, Sébastien Pillement
article
IEEE International Workshop on Reliability Aware System Design and Test, 2011, Chennai, India
Accès au bibtex
BibTex

Book sections

titre
ompVerify: Polyhedral Analysis for the OpenMP Programmer
auteur
Vamshi Basupalli, Tomofumi Yuki, Sanjay Rajopadhye, Antoine Morvan, Steven Derrien, Patrice Quinton, David Wonacott
article
Chapman, Barbara M.; Gropp, William D.; Kumaran, Kalyan; Müller, Matthias S. OpenMP in the Petascale Era, 6665, Springer, pp.37-53, 2011, 978-3-642-21486-8. ⟨10.1007/978-3-642-21487-5_4⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00752626/file/iwomp2011.pdf BibTex
titre
Experiments of in-vehicle power line Communications
auteur
Fabienne Nouvel, Philippe Tanguy, Sébastien Pillement, Hung-Manh Pham
article
Katarina Lovrecic. Vehicular Technologies, Intech, 2011
Accès au bibtex
BibTex

Habilitation à diriger des recherches

titre
Contributions à la conception d’architectures matérielles dédiées
auteur
Steven Derrien
article
Architectures Matérielles [cs.AR]. Université Rennes 1, 2011
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00749092/file/HdR.pdf BibTex
titre
Contribution à la conception de systèmes en virgule fixe
auteur
Daniel Ménard
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2011
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00719431/file/HDR_Daniel_Menard.pdf BibTex

Other publications

titre
How to accelerate genomic sequence alignment 4X using half an FPGA
auteur
Alexandre Cornu, Steven Derrien, Dominique Lavenier
article
2011
Accès au bibtex
BibTex

Books

titre
Error recovery technique for coarse-grained reconfigurable architectures
auteur
Muhammad Moazam Azeem, Stanislaw J. Piestrak, Olivier Sentieys, Sébastien Pillement
article
2011
Accès au bibtex
BibTex

Documents associated with scientific events

titre
Circuits for True Random Number Generation with On-Line Quality Monitoring
auteur
Arnaud Tisserand
article
Claude Shannon Institut Workshop on Coding and Cryptography, Apr 2011, Cork, Ireland
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00610577/file/slides-csiwcc11.pdf BibTex

Theses

titre
Optimisation de la précision de calcul pour la réduction d’énergie des systèmes embarqués
auteur
Nguyen Hai Nam
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2011. Français. ⟨NNT : 2011REN1E009⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00705141/file/HaiNamNguyen-INRIA-CAIRN.pdf BibTex
titre
Réseaux d’interconnexion flexible pour architecture reconfigurable dynamiquement
auteur
Ludovic Devaux
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2011. Français. ⟨NNT : 2011REN1E005⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00746290/file/TheseDevaux.pdf BibTex
titre
Déploiement d’applications multimédia sur architecture reconfigurable à gros grain : modélisation avec la programmation par contraintes
auteur
Erwan Raffin
article
Architectures Matérielles [cs.AR]. Université Rennes 1, 2011. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00642330/file/These_Erwan_Raffin.pdf BibTex

Preprints, Working Papers, …

titre
On the convergence behavior of recursive adaptive noise cancellation structure in the presence of crosstalk
auteur
Pascal Scalart, Ludovick Lepauloux
article
2011
Accès au texte intégral et bibtex
https://hal.science/hal-00560476/file/Scalart_SSPD_2010.pdf BibTex

2010

Journal articles

titre
High-Level Synthesis for Designing Multimode Architectures
auteur
Caaliph Andriamisaina, Philippe Coussy, Emmanuel Casseau, Cyrille Chavet
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2010, 29 (11), pp.1736
Accès au bibtex
BibTex
titre
A Flexible Operating System for Dynamic Applications
auteur
Fabrice Muller, Jimmy Le Rhun, Fabrice Lemonnier, Benoît Miramond, Ludovic Devaux
article
XCell, 2010, 73, pp.30-34
Accès au bibtex
BibTex
titre
Multivariate predictive model for dyslexia diagnosis
auteur
Guylaine Le Jan, Régine Le Bouquin Jeannes, Nathalie Costet, Nolwenn Troles, Pascal Scalart, Dominique Pichancourt, Gérard Faucon, Jean-Emile Gombert
article
Annals of Dyslexia, 2010, 61 (1), pp.1 – 20. ⟨10.1007/s11881-010-0038-5⟩
Accès au bibtex
BibTex
titre
High speed reconfigurable SWP operator for multimedia processing using redundant data representation
auteur
Shafqat Khan, Emmanuel Casseau, Daniel Ménard
article
International Journal of Information Sciences and Computer Engineering, 2010, 1 (1), pp.45-52
Accès au bibtex
BibTex
titre
Spatial Switching data coding technique analysis and improvements for interconnect power consumption optimization
auteur
Antoine Courtay, Johann Laurent, Olivier Sentieys
article
Journal of Low Power Electronics, 2010, 6 (1 (2010))
Accès au bibtex
BibTex
titre
ACCURACY EVALUATION OF FIXED-POINT BASED LMS ALGORITHM
auteur
Romuald Rocher, Daniel Ménard, Olivier Sentieys, Pascal Scalart
article
Digital Signal Processing, 2010
Accès au bibtex
BibTex
titre
Hardware Acceleration of HMMER on FPGAs
auteur
Steven Derrien, Patrice Quinton
article
Journal of Signal Processing Systems, 2010, 58 (1), pp.53-67. ⟨10.1007/s11265-008-0262-y⟩
Accès au bibtex
BibTex
titre
On designing Efficient Codecs for Bus-Invert Berger Code for Fully Asymmetric Communication
auteur
Stanislaw Piestrak, Sébastien Pillement, Olivier Sentieys
article
IEEE Transactions on Circuits and Systems II: Express Briefs, 2010, 57 (10)
Accès au bibtex
BibTex
titre
Spatio-temporal Coding to Improve Speed and Noise Tolerance of On-chip Interconnect
auteur
Sébastien Pillement, Jm. Philippe, Olivier Sentieys
article
Microelectronics Journal, 2010, ⟨10.1016/j.mejo.2009.11.001⟩
Accès au bibtex
BibTex
titre
Comments on “A Low-Power Dependable Berger Code for Fully Asymmetric Communication
auteur
Stanislaw Piestrak, Sébastien Pillement, Olivier Sentieys
article
IEEE Communications Letters, 2010, 14 (8)
Accès au bibtex
BibTex
titre
Flexible interconnection network for dynamically and partially reconfigurable architectures
auteur
Ludovic Devaux, Sana Ben Sassi, Sebastien Pillement, Daniel Chillet, Didier Demigny
article
International Journal of Reconfigurable Computing, 2010
Accès au bibtex
BibTex

Conference papers

titre
Accelerating HMMER on FPGA using Parallel Prefixes and Reductions
auteur
Naeem Abbas, Steven Derrien, Patrice Quinton, Sanjay Rajopadhye
article
Proc. of the IEEE International Conference on Field-Programmable Technology (FPT’10), Dec 2010, Beijing, China, China. x-proceedings = yes, x-international-audience = yes, x-editorial-board = yes, x-invited-conference
Accès au bibtex
BibTex
titre
R2NoC : dynamically Reconfigurable Routers for flexible Networks on Chip
auteur
Ludovic Devaux, Sébastien Pillement, Daniel Chillet, Didier Demigny
article
International Conference on ReConFigurable Computing and FPGAs, Dec 2010, Cancun, Mexico
Accès au bibtex
BibTex
titre
Fast Performance Evaluation of Fixed-Point Systems with Un-Smooth Operators
auteur
Karthick Parashar, Daniel Menard, Romuald Rocher, Olivier Sentieys, David Novo, Francky Catthoor
article
IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2010, San Jose, United States
Accès au bibtex
BibTex
titre
Shaping Probability Density Function of Quantization Noise in Fixed Point Systems
auteur
Karthick Parashar, Daniel Menard, Romuald Rocher, Olivier Sentieys
article
44th Annual Asilomar Conference on Signals, Systems, and Computers, Nov 2010, Monterey, United States
Accès au bibtex
BibTex
titre
OS services for Reconfigurable System-on-Chip Communications
auteur
Ludovic Devaux, Sébastien Pillement, Daniel Chillet, Didier Demigny
article
Design of Circuits and Integrated Systems, Nov 2010, Lanzarote, Spain
Accès au bibtex
BibTex
titre
Hardware implementation of DBNS recoding for ECC processor
auteur
Thomas Chabrier, Danuta Pamula, Arnaud Tisserand
article
44rd Asilomar Conference on Signals, Systems and Computers, Nov 2010, Pacific Grove, California, United States. pp.1129-1133, ⟨10.1109/ACSSC.2010.5757580⟩
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00536587/file/05757580.pdf BibTex
titre
A Coarse-Grain Reconfigurable Hardware Architecture for RVC-CAL-based Design
auteur
Cécile Beaumin, Emmanuel Casseau, Olivier Sentieys, Arnaud Carer
article
Design and Architectures for Signal and Image Processing, Oct 2010, Edinburgh, United Kingdom
Accès au bibtex
BibTex
titre
A Case Study Of The Stochastic Modeling Approach For Range Estimation
auteur
Andrei Banciu, Emmanuel Casseau, Daniel Menard, Thierry Michel
article
Design and Architectures for Signal and Image Processing, Oct 2010, Edinburgh, United Kingdom. pp.301-308
Accès au bibtex
BibTex
titre
Scheduling, Binding and Routing System for a Run-Time Reconfigurable Operator Based Multimedia Architecture
auteur
Erwan Raffin, Christophe Wolinski, François Charot, Krzysztof Kuchcinski, Stéphane Guyetant, Stéphane Chevobbe, Emmanuel Casseau
article
Design and Architectures for Signal and Image (DASIP), Oct 2010, Edinburgh, United Kingdom
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00539874/file/dasip2010.pdf BibTex
titre
Cooperative MIMO and Relay Association Strategy
auteur
Tuan-Duc Nguyen, Olivier Berder, Olivier Sentieys, Mai Linh
article
International Conferences on Advanced Technologies for Communications (ATC), Oct 2010, Ho Chi Minh Ville, Vietnam
Accès au bibtex
BibTex
titre
Energy Efficiency of Cooperative Strategies in Wireless Sensor Networks
auteur
Olivier Berder, Olivier Sentieys, Le-Quang-Vinh Tran
article
International Conferences on Advanced Technologies for Communications (ATC), Oct 2010, Ho Chi Minh Ville, Vietnam
Accès au bibtex
BibTex
titre
Parametric stereo extension of ITU-T G.722 based on a new downmixing scheme
auteur
Thi Minh Nguyet Hoang, Stéphane Ragot, Balazs Kövesi, Pascal Scalart
article
IEEE Multimedia Signal Processing Conference, Oct 2010, Saint-Malo, France
Accès au bibtex
BibTex
titre
Multiplication in GF(2^m): area and time dependency/efficiency/complexity analysis
auteur
Danuta Pamula, Edward Hrynkiewicz, Arnaud Tisserand
article
10th International IFAC Workshop on Programmable Devices and Embedded Systems (PDeS), Oct 2010, Pszczyna, Poland
Accès au bibtex
BibTex
titre
Task placement for dynamic and partial reconfigurable architecture
auteur
Antoine Eiche, Daniel Chillet, Sébastien Pillement, Olivier Sentieys
article
Conference on Design and Architectures for Signal and Image Processing, Oct 2010, Edimbourg, United Kingdom
Accès au bibtex
BibTex
titre
Design Methodology for a High Performance Robust DVB-S2 Decoder Implementation
auteur
Florent Berthelot, François Charot, Charles Wagner, Christophe Wolinski
article
13th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools (DSD 2010), Sep 2010, Lille, France
Accès au bibtex
BibTex
titre
System-Level Synthesis for Ultra Low-Power Wireless Sensor Nodes
auteur
Adeel Pasha, Steven Derrien, Olivier Sentieys
article
Proc. of the 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD), Sep 2010, Lille, France, France. pp.493 – 500
Accès au bibtex
BibTex
titre
Towards Automatic Accuracy Validation and Optimization of Fixed-Point Hardware Descriptions in SystemC
auteur
Arnaud Tisserand
article
14th GAMM-IMACS International Symposium on Scientific Computing, Computer Arithmetic and Validated Numerics (SCAN), Sep 2010, Lyon, France
Accès au bibtex
BibTex
titre
On reducing error rate of data protected using systematic unordered codes in asymmetric channels
auteur
Stanislaw Piestrak
article
13th Euromicro Conference on Digital System Design (DSD 2010), Sep 2010, Lille, France. ⟨10.1109/DSD.2010.117⟩
Accès au bibtex
BibTex
titre
Computationally efficient and robust frequency-domain GSC
auteur
Ludovick Lepauloux, Pascal Scalart, Claude Marro
article
12th IEEE International Workshop on Acoustic Echo and Noise Control, Aug 2010, Tel-Aviv, Israel
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00512654/file/IWAENC2010.pdf BibTex
titre
Estimating Frequency Characteristics of Quantization Noise for Performance Evaluation of Fixed Point Systems
auteur
Karthick Parashar, Daniel Menard, Romuald Rocher, Olivier Sentieys
article
18th European Signal Processing Conference (EUSIPCO-2010), EURASIP, Aug 2010, Aalborg, Denmark. pp.552-556
Accès au bibtex
BibTex
titre
Evaluation of Fault-Mitigation Schemes for Fault-Tolerant Dynamic MPSoC
auteur
Hung-Manh Pham, Sébastien Pillement, Didier Demigny
article
International Conference on Field Programmable Logic and Applications, Aug 2010, Milan, Italy
Accès au bibtex
BibTex
titre
Combined Scheduling and Instruction Selection for Processors with Reconfigurable Cell Fabric
auteur
Antoine Floch, Christophe Wolinski, Krzysztof Kuchcinski
article
21th IEEE International Conference on Application-specific Systems, Architectures and Processors, (ASAP 2010), IEEE, Jul 2010, Rennes, France
Accès au bibtex
BibTex
titre
Graph Constraints in Embedded System Design
auteur
Christophe Wolinski, Krzysztof Kuchcinski, Kevin Martin, Antoine Floch, Erwan Raffin, François Charot
article
Worshop on Combinatorial Optimization for Embedded System Design (COESD 2010), Jun 2010, Bologne, Italy
Accès au bibtex
BibTex
titre
A Complete Design-Flow for the Generation of Ultra Low-Power WSN Node Architectures Based on Micro-Tasking
auteur
Adeel Pasha, Olivier Sentieys, Steven Derrien
article
Proc. of the 47th IEEE/ACM Design Automation Conference (DAC), Jun 2010, Anaheim, CA, USA, x-proceedings = yes, x-international-audience = yes, x-editorial-board = yes, x-in, United States. pp.693 – 698
Accès au bibtex
BibTex
titre
Pattern Extraction for Digital Design
auteur
Emmanuel Casseau, Chenglong Xiao
article
Colloque du GDR SoC SiP, Jun 2010, Paris, France
Accès au bibtex
BibTex
titre
A Novel Approach for Ultra Low-Power WSN Node Generation
auteur
Adeel Pasha, Steven Derrien, Olivier Sentieys
article
IET Irish Signals and Systems Conference (ISSC 2010), Jun 2010, cork, Ireland
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00556844/file/issc2010.pdf BibTex
titre
Design of cost-efficient multipliers modulo ^a -1$
auteur
Stanislav Piestrak
article
IEEE International Symposium on Circuits and Systems (ISCAS 2010), Jun 2010, Paris, France. pp.4093 – 4096, ⟨10.1109/ISCAS.2010.5537626⟩
Accès au bibtex
BibTex
titre
3-D minimum Euclidean distance based sub-optimal precoder for MIMO spatial multiplexing systems
auteur
Quoc-Tuong Ngo, Olivier Berder, Pascal Scalart
article
IEEE International Conference on Communications (ICC), Jun 2010, Cape Town, South Africa. ⟨10.1109/ICC.2010.5502075⟩
Accès au bibtex
BibTex
titre
Dynamic NOC-based MPSoC with Fault-Tolerance Support
auteur
Hung-Manh Pham, Ludovic Devaux, Sébastien Pillement
article
DAC Workshop on “Diagnostic Services in Network-on-Chips (DSNoC)”, Jun 2010, Anaheim, United States
Accès au bibtex
BibTex
titre
Lower Bound of Energy-Delay Tradeoff of Cooperative MIMO Communications
auteur
Ruifeng Zhang, Jean-Marie Gorce
article
IEEE International Conference on Communications (ICC), May 2010, Cape Town, South Africa
Accès au bibtex
BibTex
titre
Cooperative MISO and Relay Comparison in Energy Constrained Wireless Sensor Networks
auteur
Tuan-Duc Nguyen, Olivier Berder, Olivier Sentieys
article
71st IEEE International Vehicular Technology conference (VTC), May 2010, Taipei, Taiwan. pp.1-5, ⟨10.1109/VETECS.2010.5493688⟩
Accès au bibtex
BibTex
titre
Arithmetic Level Countermeasures for ECC Coprocessor
auteur
Arnaud Tisserand, Thomas Chabrier, Danuta Pamula
article
Claude Shannon Institute Workshop onCoding & Cryptography, May 2010, Cork, Ireland
Accès au bibtex
BibTex
titre
FT-DyMPSoC: Analytical Model for Fault-Tolerant Dynamic MPSoC
auteur
Hung-Manh Pham, Sébastien Pillement, Didier Demigny
article
IEEE Symposium on Field-Programmable Custom Computing Machines, May 2010, Charlotte, United States
Accès au bibtex
BibTex
titre
Mesh and Fat-Tree comparison for dynamically reconfigurable applications
auteur
Ludovic Devaux, Sébastien Pillement, Daniel Chillet, Didier Demigny
article
Workshop on Reconfigurable Communication-Centric SoCs (ReCoSoC), May 2010, Karlsruhe, Germany
Accès au bibtex
BibTex
titre
Analytical Approach for Analyzing Quantization Noise Effects on Decision Operators
auteur
Karthick Parashar, Romuald Rocher, Daniel Menard, Olivier Sentieys
article
IEEE International Conference on Acoustics Speech and Signal Processing (ICASSP), Mar 2010, Dallas, United States. pp.1554-1557, ⟨10.1109/ICASSP.2010.5495520⟩
Accès au bibtex
BibTex
titre
Design of a Fault-Tolerant Coarse-Grained Reconfigurable Architecture: A Case Study
auteur
Syed Jafri, Stanislaw Piestrak, Olivier Sentieys, Sébastien Pillement
article
IEEE International Symposium on Quality Electronic Design (ISQED), Mar 2010, San Jose, United States
Accès au bibtex
BibTex
titre
PowWow: Power Optimized Hardware/Software Framework for Wireless Motes
auteur
Olivier Berder, Olivier Sentieys
article
Workshop on Ultra-Low Power Sensor Networks (WUPS), co-located with Int. Conf. on Architecture of Computing Systems (ARCS 2010), Feb 2010, Hannover, Germany. pp.229-233
Accès au bibtex
BibTex
titre
Energy Efficient Sensor Node Implementations
auteur
Jan Frigo, Eric Raby, Ed Rosten, Vinod Kulathumani, Christophe Wolinski, Charles Wagner, François Charot, Sean Brennan
article
8th International Symposium on Field-Programmable Gate Arrays (FPGA 2010), Feb 2010, Monterey, United States
Accès au bibtex
BibTex
titre
A Hierarchical Methodology for Word-Length Optimization of Signal Processing Systems
auteur
Karthick Parashar, Romuald Rocher, Daniel Menard, Olivier Sentieys
article
23rd International Conference on VLSI Design, 2010. Proceedings, Bangalore, India, Jan 2010, Bangalore, India
Accès au bibtex
BibTex
titre
Transmitter Architecture for the Evaluation of Beamforming Schemes in the IEEE 802.11n Standard
auteur
Michel Theriault, Sébastien Roy, Olivier Sentieys
article
11th annual IEEE Wireless and Microwave Technology (WAMI) Conference, 2010, Melbourne, United States. ⟨10.1109/WAMICON.2010.5461867⟩
Accès au bibtex
BibTex

Book sections

titre
RANN: A Reconfigurable Artificial Neural Network Model for Task Scheduling on Reconfigurable System-on-Chip
auteur
Daniel Chillet, Sébastien Pillement, Olivier Sentieys
article
G. Gogniat and D. Milojevic and A. Morawiec and A. T. Erdogan. Algorithm-Architecture Matching for Signal and Image Processing, Springer Verlag, 2010
Accès au bibtex
BibTex

Habilitation à diriger des recherches

titre
Conception d’architectures reconfigurables dynamiquement : Du silicium au système
auteur
Sébastien Pillement
article
Micro et nanotechnologies/Microélectronique. Université Rennes 1, 2010
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00554210/file/HDR.pdf BibTex
titre
Étude et conception d’opérateurs arithmétiques
auteur
Arnaud Tisserand
article
Informatique [cs]. Université Rennes 1, 2010
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00502465/file/hdr.pdf BibTex
titre
Contribution à la gestion dynamique de ressource reconfigurable intégrée au sein d’un MPSoC
auteur
Daniel Chillet
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2010
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00509892/file/HDR_Daniel_CHILLET.pdf BibTex

Other publications

titre
Open-PEOPLE ANR Project, Open Power and Energy Optimization Platform and Estimator
auteur
Daniel Chillet, Eric Senn, Olivier Zendra, Smail Niar, Cécile Belleudy, V. Tissier, Christian Samoyeau
article
2010, vol.24, p.16
Accès au bibtex
BibTex

Books

titre
Proceedings of the 21st IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP’10)
auteur
François Charot, Frank Hannig, Jürgen Teich, Christophe Wolinski
article
IEEE Computer Society, pp.355, 2010, 978-1-4244-6967-3
Accès au bibtex
BibTex

Reports

titre
Accelerating HMMER on FPGA using Parallel Prefixes and Reductions
auteur
Naeem Abbas, Steven Derrien, Sanjay Rajopadhye, Patrice Quinton
article
[Research Report] RR-7370, INRIA. 2010
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00515298/file/RR-7370.pdf BibTex

Theses

titre
System-Level Synthesis of Ultra Low-Power Wireless Sensor Network Node Controllers: A Complete Design-Flow
auteur
Muhammad Adeel Ahmed Pasha
article
Computer Science [cs]. Université Rennes 1, 2010. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00553143/file/thesis_pasha.pdf BibTex
titre
Prise de son distante par système multimicrophone. Application à la communication parlée en environnement bruyant
auteur
Ludovick Lepauloux
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2010. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00636256/file/Ph.D._Thesis_Lepauloux.pdf BibTex
titre
Hiérarchie mémoire reconfigurable faible consommation pour systèmes enfouis
auteur
Erwan Grâce
article
Electronique. Université Rennes 1, 2010. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00783898/file/TheseErwanGraceSeptembre_2010.pdf BibTex
titre
Development of high performance hardware architectures for multimedia applications
auteur
Shafqat Khan
article
Micro and nanotechnologies/Microelectronics. Université Rennes 1, 2010. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00554668/file/S_Khan_memoire_these.pdf BibTex
titre
Génération automatique d’extensions de jeux d’instructions de processeurs
auteur
Kevin Martin
article
Génie logiciel [cs.SE]. Université Rennes 1, 2010. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00526133/file/these_KevinMartin.pdf BibTex

2009

Journal articles

titre
Automatic Design of Application-Specific Reconfigurable Processor Extensions with UPaK Synthesis Kernel
auteur
Christophe Wolinski, Krzysztof Kuchcinski, Erwan Raffin
article
ACM Transactions on Design Automation of Electronic Systems, 2009, 15 (1), ⟨10.1145/1640457.1640458⟩
Accès au bibtex
BibTex
titre
A reindexing based approach towards mapping of DAG with affine schedules onto parallel embedded systems
auteur
Clementin Tayou Djamegni, Patrice Quinton, Sanjay Rajopadhye, Tanguy Risset, Maurice Tchuente
article
Journal of Parallel and Distributed Computing, 2009, 69 (1), pp.1-11
Accès au texte intégral et bibtex
https://hal.science/hal-00410708/file/Allo2D.pdf BibTex
titre
A light computing method for real-time activity recognition
auteur
A. Sorel, Guillaume Nicolas, L. L’Hours, Jacques Prioux, Patrice Quinton
article
Computer Methods in Biomechanics and Biomedical Engineering, 2009, 12, pp.231-232
Accès au bibtex
BibTex
titre
Ordonnancement de tâches par réseaux de neurones pour architectures de SoC hétérogènes
auteur
Daniel Chillet, Sébastien Pillement, Olivier Sentieys
article
Traitement du Signal, 2009, 26 (1), pp.77-89
Accès au bibtex
BibTex
titre
Efficient and Flexible Dynamic Reconfiguration for Multi-Context Architectures
auteur
Julien Lallet, Sébastien Pillement, Olivier Sentieys
article
Journal of Integrated Circuits and Systems, 2009, 4 (1), pp.36-44
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00446054/file/lallet09c.pdf BibTex
titre
OveRSoC : a Framework for the Exploration of RTOS for RSoC Platforms
auteur
Benoit Miramond, Emmanuel Huck, François Verdier, Mohamed El Amine Benkhelifa, Bertrand Granado, Thomas Lefebvre, Mehdi Aichouch, Jean-Christophe Prévotet, Yaset Oliva, Daniel Chillet, Sébastien Pillement
article
International Journal of Reconfigurable Computing, 2009, 2009, pp.450607. ⟨10.1155/2009/450607⟩
Accès au bibtex
BibTex

Conference papers

titre
DRAFT: Flexible Interconnection Network for Dynamically Reconfigurable Architectures
auteur
Ludovic Devaux, Sana Ben Sassi, Sébastien Pillement, Daniel Chillet, Didier Demigny
article
IEEE International Conference on Field-Programmable Technology (FPT’09), Dec 2009, Sydney, Australia
Accès au bibtex
BibTex
titre
Toward Ultra Low-Power Hardware Specialization of a Wireless Sensor Network Node
auteur
Adeel Pasha, Steven Derrien, Olivier Sentieys
article
Proc. of the 13th IEEE International Multitopic Conference, INMIC 2009, Dec 2009, Islamabad, Pakistan. ⟨10.1109/INMIC.2009.5383135⟩
Accès au bibtex
BibTex
titre
A Fault-Tolerant Layer For Dynamically Reconfigurable Multi-Processor System-On-Chip
auteur
Hung-Manh Pham, Sébastien Pillement, Didier Demigny
article
International Conference on ReConFigurable Computing and FPGAs, ReConFig’09, Dec 2009, Cancun, Mexico
Accès au bibtex
BibTex
titre
Comparison of Modular Arithmetic Algorithms on GPUs
auteur
Pascal Giorgi, Thomas Izard, Arnaud Tisserand
article
ParCo’09: International Conference on Parallel Computing, France. pp.N/A
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00424288/file/article-parco09.pdf BibTex
titre
Cooperative strategies comparison for infrastructure and vehicle communications in CAPTIV
auteur
Tuan-Duc Nguyen, Olivier Berder, Olivier Sentieys
article
9th IEEE International Conference on ITS Telecommunication (ITST), Oct 2009, Lille, France
Accès au bibtex
BibTex
titre
Opérateurs arithmétiques sécurisés
auteur
Arnaud Tisserand
article
RAIM: 3ème Rencontres Arithmétique de l’Informatique Mathématique, Oct 2009, Lyon, France
Accès au bibtex
BibTex
titre
Exploiting residue number system for power-efficient digital signal processing in embedded processors
auteur
Rooju Chokshi, Aviral Shrivastava, Krzysztof S. Berezowski, Stanislaw J. Piestrak
article
IEEE/ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), Oct 2009, Grenoble, France. pp.19-28
Accès au bibtex
BibTex
titre
Function Approximation based on Estimated Arithmetic Operators
auteur
Arnaud Tisserand
article
43th Asilomar Conference on Signals, Systems and Computers, Oct 2009, Pacific Grove, California, United States
Accès au bibtex
BibTex
titre
Reconfigurable ECU Communications in Autosar Environment
auteur
Hung-Manh Pham, Sébastien Pillement, Didier Demigny
article
9th International Conference on ITS Telecommunications, Oct 2009, Lille, France
Accès au bibtex
BibTex
titre
Sélection automatique d’instructions et ordonnancement d’applications basés sur la programmation par contraintes
auteur
Kevin Martin, Christophe Wolinski, Krzysztof Kuchcinski, Antoine Floch, François Charot
article
13ème Symposium en Architecture de machines (SympA’13), Sep 2009, Toulouse, France
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00449670/file/charot09_sympa13.pdf BibTex
titre
Architecture optimisée de SVD pour le calcul d’un précodeur dans une chaine de transmission MIMO
auteur
Héléne Dubois, Olivier Berder, Guillaume Garnier, Baptiste Vrigneau, Olivier Sentieys
article
GRETSI’09, Sep 2009, Dijon, France. pp.302
Accès au bibtex
BibTex
titre
High-level Model of Dynamically Reconfigurable Architectures
auteur
Sébastien Pillement, Daniel Chillet
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2009, Nice, France
Accès au bibtex
BibTex
titre
Réseau d’interconnexion flexible pour architecture reconfigurable dynamiquement et partiellement
auteur
Ludovic Devaux, Sana Ben Sassi, Sébastien Pillement, Daniel Chillet, Didier Demigny
article
Symposium en Architecture de machines (SympA’13), Sep 2009, Toulouse, France
Accès au bibtex
BibTex
titre
Flot d’ordonnancement pour architecture reconfigurable
auteur
Antoine Eiche, Daniel Chillet, Sébastien Pillement, Olivier Sentieys
article
Symposium en Architecture de machines (SympA’13), Sep 2009, Toulouse, France
Accès au bibtex
BibTex
titre
Plate-forme de Conception d’Architectures Reconfigurables Dynamiquement pour le Domaine du TSI
auteur
Julien Lallet, Sébastien Pillement, Olivier Sentieys
article
Symposium on Signal and Image Processing (GRETSI), Sep 2009, Dijon, France
Accès au bibtex
BibTex
titre
Exploration for Dynamic Reconfiguration Management
auteur
Yaset Oliva, Jean-Christophe Prévotet, Fabienne Nouvel, Sébastien Pillement, Daniel Chillet
article
Sophia Antipolis MicroElectronics Forum, SAME 2009, Sep 2009, Nice, France
Accès au bibtex
BibTex
titre
Architecture-Driven Synthesis of Reconfigurable Cells
auteur
Christophe Wolinski, Krzysztof Kuchcinski, Erwan Raffin, François Charot
article
12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools (DSD 2009), Aug 2009, Patras, Greece. pp.531-538
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00449757/file/3782a531.pdf BibTex
titre
An efficient low-complexity algorithm for crosstalk-resistant adaptive noise canceller
auteur
Ludovick Lepauloux, Pascal Scalart, Claude Marro
article
17th European Signal Processing Conference, eurasip, Aug 2009, Glasgow, United Kingdom
Accès au bibtex
BibTex
titre
Design of Optimized Fixed-point WCDMA Receiver
auteur
Nguyen Hai Nam, Daniel Menard, Olivier Sentieys
article
European Signal and Image Processing Conference (EUSIPCO), Glascow, Scotland, Aug 2009, Glasgow, United Kingdom
Accès au bibtex
BibTex
titre
NEW FREQUENCY DOMAIN POST-FILTERS FOR NOISE CANCELLATION USING TWO CLOSELY SPACED MICROPHONES
auteur
Mohamed Djendi, Pascal Scalart, André Gilloire
article
Proc. of the XVII European Signal and Image Processing Conference (EUSIPCO’09), Aug 2009, Glasgow, United Kingdom. pp.165-169
Accès au texte intégral et bibtex
https://hal.science/hal-00780932/file/2009-Eusipco-Djendi.pdf BibTex
titre
Arithmetic operators for on-the-fly evaluation of TRNGs
auteur
Renaud Santoro, Arnaud Tisserand, Olivier Sentieys, Sébastien Roy
article
Advanced Signal Processing Algorithms, Architectures and Implementations XVIII, Aug 2009, San Diego, United States. ⟨10.1117/12.826336⟩
Accès au bibtex
BibTex
titre
Automated Multimode System Design for High Performance DSP Applications
auteur
Bertrand Le Gal, Emmanuel Casseau
article
17th EURASIP European Conference on Signal Processing, Aug 2009, United Kingdom. pp.000
Accès au bibtex
BibTex
titre
xMAML: a Modeling Language for Dynamically Reconfigurable Architectures
auteur
Julien Lallet, Sébastien Pillement, Olivier Sentieys
article
12th Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD), Aug 2009, Patras, Greece. pp.680 – 687, ⟨10.1109/DSD.2009.151⟩
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00446056/file/lallet09b.pdf BibTex
titre
Interconnect Explorer: A High-level Power Estimation Tool for On-Chip Interconnects
auteur
Antoine Courtay, Johann Laurent, Olivier Sentieys, Nathalie Julien
article
User Track of the Design Automation Conference, DAC 2009, Jul 2009, San Francisco, United States. pp.1
Accès au bibtex
BibTex
titre
Constraint-Driven Identification of Application Specific Instructions in the DURASE System
auteur
Kevin Martin, Christophe Wolinski, Krzysztof Kuchcinski, Antoine Floch, François Charot
article
9th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2009), Jul 2009, Samos, Greece. pp.194-203, ⟨10.1007/978-3-642-03138-0_21⟩
Accès au bibtex
BibTex
titre
How Constraints Programming Can Help You in the Generation of Optimized Application Specific Reconfigurable Processor Extensions
auteur
Christophe Wolinski, Krzysztof Kuchcinski, Kevin Martin, Erwan Raffin, François Charot
article
International Conference on Engineering of Reconfigurable Systems & Algorithms (ERSA 2009), Jul 2009, Las Vegas, United States
Accès au bibtex
BibTex
titre
Reconfigurable SWP Operator for Multimedia Processing
auteur
Shafqat Khan, Emmanuel Casseau, Daniel Menard
article
ASAP 2009 – 20th IEEE International Conference on Application-Specific Systems Architectures and Processors, Jul 2009, Boston, United States. pp.199-202, ⟨10.1109/ASAP.2009.13⟩
Accès au bibtex
BibTex
titre
Constraint-Driven Instructions Selection and Application Scheduling in the DURASE system
auteur
Kevin Martin, Christophe Wolinski, Krzysztof Kuchcinski, Antoine Floch, François Charot
article
20th IEEE International Conference on Application-specific Systems, Architectures and Processors, (ASAP 2009), Jul 2009, Boston, United States. pp.145-152, ⟨10.1109/ASAP.2009.19⟩
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00449747/file/charot_asap09.pdf BibTex
titre
High-Level Synthesis for the Design of FPGA-based Signal Processing Systems
auteur
Emmanuel Casseau, Bertrand Le Gal
article
IEEE International Symposium on Systems, Architectures, Modeling, and Simulation Conference (SAMOS’09), Jul 2009, Samos, Greece. pp.000
Accès au bibtex
BibTex
titre
High-Level Exploration for Dynamic Reconfiguration Management
auteur
Sébastien Pillement, Daniel Chillet, Yaset Oliva, Jean-Christophe Prévotet
article
Engineering of Reconfigurable Systems and Algorithms, Jun 2009, Las Vegas, United States
Accès au bibtex
BibTex
titre
Low-Power Arithmetic Operators
auteur
Arnaud Tisserand
article
8ème journées d’études Faible Tension Faible Consommation, Jun 2009, Neuchâtel, Switzerland
Accès au bibtex
BibTex
titre
Minimum Distance Based Precoder for MIMO-OFDM Systems Using a 16-QAM Modulation
auteur
Quoc-Tuong Ngo, Olivier Berder, Baptiste Vrigneau, Olivier Sentieys
article
IEEE International Conference on Communications (ICC), Jun 2009, Dresden, Germany. pp.1-5
Accès au bibtex
BibTex
titre
Redundant Number Systems for Reconfigurable Arithmetic Units
auteur
Arnaud Tisserand
article
7th International Workshop on Cryptographic Architectures Embedded in Reconfigurable Devices, Jun 2009, Prague, Czech Republic
Accès au bibtex
BibTex
titre
Design of Processor Accelerators with Constraints
auteur
Christophe Wolinski, Krzysztof Kuchcinski, Kevin Martin, Erwan Raffin, François Charot
article
8th workshop of the Network for Sweden-based researchers and practitioners of Constraint programming, May 2009, Linköping, Sweden
Accès au bibtex
BibTex
titre
Optimization methodology for a multi-source energy generation system using the human environment energy resource
auteur
Marianne Lossec, Bernard Multon, Hamid Ben Ahmed, L. L’Hours, Patrice Quinton, Guillaume Nicolas, A. Sorel, Jacques Prioux
article
2nd NES (New Energy Solutions), May 2009, Tours, France
Accès au bibtex
BibTex
titre
Ultra low-power FSM for control oriented applications
auteur
Steven Derrien, Adeel Pasha, Olivier Sentieys
article
IEEE International Symposium on Circuits and Systems, 2009., May 2009, Taipe, Taiwan
Accès au bibtex
BibTex
titre
Dynamic precision scaling for low power WCDMA receiver
auteur
Hai Nam Nguyen, Daniel Menard, Olivier Sentieys
article
IEEE International Symposium on Circuits and Systems, 2009. ISCAS 2009, May 2009, Taipei, Taiwan. pp.205-208, ⟨10.1109/ISCAS.2009.5117721⟩
Accès au bibtex
BibTex
titre
On-Line Monitoring of Random Number Generators for Embedded Security
auteur
Renaud Santoro, Olivier Sentieys, Sébastien Roy
article
IEEE International Symposium on Circuits and Systems, ISCAS 2009, May 2009, Taipei, Taiwan. ⟨10.1109/ISCAS.2009.5118446⟩
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00446036/file/Santoro09.pdf BibTex
titre
Power Consumption of GPUs from a Software Perspective
auteur
Caroline Collange, David Defour, Arnaud Tisserand
article
9th International Conference on Computational Science, May 2009, Baton Rouge, Louisiana, United States. pp.914-923, ⟨10.1007/978-3-642-01970-8_92⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00348672/file/Collange_PowerConsumptionGPU_ICCS09.pdf BibTex
titre
On-the-Fly Evaluation of FPGA-Based True Random Number Generator
auteur
Renaud Santoro, Olivier Sentieys, Sébastien Roy
article
IEEE Computer Society Annual Symposium on VLSI, ISVLSI’09, May 2009, Tampa, Florida, United States. ⟨10.1109/ISVLSI.2009.33⟩
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00445943/file/Santoro09a.pdf BibTex
titre
A Generic Architecture of CCSDS Low Density Parity Check Decoder for Near-Earth Applications
auteur
Fabien Demangel, Nicolas Fau, Nicolas Drabik, François Charot, Christophe Wolinski
article
Design, Automation & Test in Europe Conference & Exhibition, 2009 (DATE ’09), Apr 2009, Nice, France. pp.1242-1245
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00449731/file/charot_date09.pdf BibTex
titre
Flexible Communication Support For Dynamically Reconfigurable FPGA
auteur
Ludovic Devaux, Daniel Chillet, Sébastien Pillement, Didier Demigny
article
Southern Programmable Logic Conference, Apr 2009, Sao-Carlos, Brazil. pp.65-70
Accès au bibtex
BibTex
titre
SWP for multimedia operator design
auteur
Shafqat Khan, Emmanuel Casseau, Daniel Menard
article
SETIT Conference, Sciences of Electronic, Technologies of Information and Telecommunications, Hammamet, Tunisia, Mar 2009, Hammamet, Tunisia
Accès au bibtex
BibTex
titre
Reconfigurable Operator Based Multimedia Embedded Processor
auteur
Daniel Menard, Emmanuel Casseau, Shafqat Khan, Olivier Sentieys, S. Chevobbe, S. Guyetant, R. David
article
Reconfigurable Computing: Architectures, Tools and Applications, Mar 2009, Karlsruhe, Germany. pp.39–49, ⟨10.1007/978-3-642-00641-8_7⟩
Accès au bibtex
BibTex

Other publications

titre
On-chip interconnects energy consumption: High-level estimation and architectural optimizations
auteur
Antoine Courtay, Olivier Sentieys, Johann Laurent, Nathalie Julien
article
2009
Accès au bibtex
BibTex

Reports

titre
Low Parametric Sensitivity Realizations with relaxed L2-dynamic-range-scaling constraints
auteur
Thibault Hilaire
article
[Research Report] PI 1924, 2009, pp.16
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00364489/file/PI-1924.pdf BibTex

Theses

titre
Vers des générateurs de nombres aléatoires uniformes et gaussiens à très haut débit
auteur
Renaud Santoro
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2009. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00438600/file/these_santoro.pdf BibTex
titre
Étude et optimisation de l’interaction processeurs-architectures reconfigurables dynamiquement
auteur
Ben Abdallah Faten
article
Micro et nanotechnologies/Microélectronique. Université Rennes 1, 2009. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00438608/file/these_faten_BENABDALLAH.pdf BibTex
titre
Stratégies de MIMO coopératif pour les réseaux de capteurs sans fil contraints en énergie
auteur
Tuan-Duc Nguyen
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2009. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00438589/file/nguyen09PhDthesis_Cooperative_MIMO_Strategies_for_Energy_constrained_Wireless_Sensor_Networks.pdf BibTex

2008

Journal articles

titre
CAPTIV : des panneaux intelligents qui nous aident à conduire
auteur
Olivier Berder, Christian Blonz
article
Interstices, 2008
Accès au bibtex
BibTex
titre
Analytical Fixed-Point Accuracy Evaluation in Linear Time-Invariant Systems
auteur
Daniel Menard, Olivier Sentieys
article
IEEE Transactions on Circuits and Systems I: Regular Papers, 2008, 55 (10), pp.3197 -3208. ⟨10.1109/TCSI.2008.923279⟩
Accès au bibtex
BibTex
titre
Dynamic Memory Access Management for High-Performance DSP Applications Using High-Level Synthesis
auteur
Bertrand Le Gal, Emmanuel Casseau, Sylvain Huet
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2008, 16 (11), pp.1454-1464. ⟨10.1109/TVLSI.2008.2000821⟩
Accès au bibtex
BibTex
titre
Combining flash memory and FPGAs to efficiently implement a massively parallel algorithm for content-based image retrieval
auteur
Chikhi Rayan, Steven Derrien, Noumsi Auguste, Patrice Quinton
article
International Journal of Electronics, 2008, 95 (7), pp.621-635(15)
Accès au bibtex
BibTex
titre
Accuracy Constraint Determination in Fixed-Point System Design
auteur
Daniel Menard, Romain Serizel, Romuald Rocher, Olivier Sentieys
article
EURASIP Journal on Embedded Systems, 2008, 2008 (1), ⟨10.1155/2008/242584⟩
Accès au bibtex
BibTex
titre
Reconfigurable Computing: Design Methodology and Hardware Tasks Scheduling for Real-Time Image Processing
auteur
Lounis Kessal, Nicolas Abel, Si Mahmoud Karabernou, Didier Demigny
article
Journal of Real-Time Image Processing, 2008, pp.131-147
Accès au bibtex
BibTex
titre
{DART: A Functional-Level Reconfigurable Architecture for High Energy Efficiency}
auteur
Sébastien Pillement, Olivier Sentieys, R. David
article
EURASIP Journal on Embedded Systems, 2008, ⟨10.1155/2008/562326⟩
Accès au bibtex
BibTex
titre
Structure mémoire reconfigurable : vers une structure de stockage faible consommation
auteur
Daniel Chillet, Raphael David, Erwan Grace, Olivier Sentieys
article
Revue des Sciences et Technologies de l’Information – Série TSI : Technique et Science Informatiques, 2008, 27 (1), pp.183-204
Accès au bibtex
BibTex

Conference papers

titre
Les troubles visuo-attentionnels dans le diagnostic de la dyslexie développementale
auteur
Nolwenn Troles, Guylaine Le Jan, Régine Le Bouquin Jeannès, Gérard Faucon, Pascal Scalart, Dominique Pichancourt, Jean-Emile Gombert
article
Colloque Approches cognitive et développementale de l’apprentissage de la lecture, Nov 2008, Angers, France
Accès au bibtex
BibTex
titre
Energy reduction in wireless system by dynamic adaptation of the fixed-point specification
auteur
Nguyen Hai Nam, Daniel Menard, Olivier Sentieys
article
Workshop on Design and Architectures for Signal and Image Processing DASIP 2008, Nov 2008, Bruxelles, Belgium
Accès au bibtex
BibTex
titre
MOREA : A Memory-Oriented Reconfigurable Embedded Architecture
auteur
Erwan Grace, Raphael David, Daniel Chillet, Olivier Sentieys
article
Design and Architectures for Signal and Image Processing, Nov 2008, Bruxelles, Belgium. pp.124-131
Accès au bibtex
BibTex
titre
Reconfigurable Artificial Neural Network Model for Task Scheduling on Reconfigurable SoC
auteur
Daniel Chillet, Sébastien Pillement, Olivier Sentieys
article
Design and Architectures for Signal and Image Processing, Nov 2008, Bruxelles, Belgium. pp.92-99
Accès au bibtex
BibTex
titre
Cooperative communications between vehicles and intelligent road signs
auteur
Olivier Berder, Philippe Quémerais, Olivier Sentieys, Jérôme Astier, Tuan-Duc Nguyen, Jacky Ménard, Gilles Le Mestre, Yvon Le Roux, Yvan Kokar, Gheorghe Zaharia, Ratiba Benzerga, Xavier Castel, Mohamed Himdi, Ghaïs El Zein, Sophie Jégou, Philippe Cosquer, Michel Bernard
article
ITST 2008 “8th International Conference on ITS Telecommunications”, Oct 2008, Phuket, Thailand. P1.7 (5 p.) – Session V2I & MANET
Accès au bibtex
BibTex
titre
Bit Accurate Roundoff Noise Analysis of Fixed-point Linear Controllers
auteur
Thibault Hilaire, Daniel Menard, Olivier Sentieys
article
Computer-Aided Control Systems, 2008. CACSD 2008. IEEE International Conference on, Sep 2008, San Antonio, TX, United States
Accès au bibtex
BibTex
titre
Low distorsion decoupled crosstalk resistant adaptive noise canceller
auteur
Ludovick Lepauloux, Pascal Scalart, Claude Marro
article
11th IEEE International Workshop on Acoustic Echo and Noise Control, Sep 2008, Seattle, United States
Accès au bibtex
BibTex
titre
Novel Cross-Transition Elimination Technique Improving Delay and Power Consumption for On-Chip Buses
auteur
Antoine Courtay, Johann Laurent, Olivier Sentieys, Nathalie Julien
article
International Workshop on Power and Timing Modeling, Optimization and Simulation. PATMOS 2008, Sep 2008, Lisbonne, Portugal. pp.359-368
Accès au bibtex
BibTex
titre
Area and Reconfiguration Time Minimization of the Communication Network in Regular 2D Reconfigurable Architectures
auteur
Christophe Wolinski, Krzysztof Kuchcinski, Jürgen Teich, Frank Hannig
article
International Conference on Field Programmable Logic and Applications (FPL 2008), Sep 2008, Heidelberg, Germany. pp.391-396, ⟨10.1109/FPL.2008.4629969⟩
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00451667/file/wolinski-fpl08.pdf BibTex
titre
A Parallel and Modular Architecture for 802.16e LDPC Codes
auteur
François Charot, Christophe Wolinski, Nicolas Fau, François Hamon
article
11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools (DSD 2008), Sep 2008, Parme, Italy. pp.418 – 421
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00449834/file/charot_dsd08.pdf BibTex
titre
Communication Network Reconfiguration Overhead Optimization in Programmable Processor Array Architectures
auteur
Christophe Wolinski, Krzysztof Kuchcinski, Jürgen Teich, Frank Hannig
article
Conference on Digital System Design Architectures, Methods and Tools (DSD 2008), Sep 2008, Parme, Italy. pp.345-352, ⟨10.1109/DSD.2008.1⟩
Accès au bibtex
BibTex
titre
Modélisation, Estimation et Optimisation de la consommation des interconnexions dans les SOC
auteur
Antoine Courtay, Johann Laurent, Nathalie Julien, Olivier Sentieys
article
GDR SOC SIP, Jun 2008, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-00294145/file/CourtayGDR_SOCSIP.pdf BibTex
titre
Automatic Identification and Selection of Application-Specific Reconfigurable Processor Extensions
auteur
Christophe Wolinski, Krzysztof Kuchcinski
article
ArtistDesign Workshop on Design for Adaptivity, May 2008, Lund, Sweden
Accès au bibtex
BibTex
titre
Efficient space time combination technique for unsynchronized cooperative MISO transmission
auteur
Tuan-Duc Nguyen, Olivier Berder, Olivier Sentieys
article
IEEE 67th Vehicular Technology Conference (VTC Spring 2008), May 2008, Marina Bay, Singapore. pp.629-633
Accès au bibtex
BibTex
titre
Impact of transmission synchronization error and cooperative reception techniques on the performance of cooperative MIMO systems
auteur
Tuan-Duc Nguyen, Olivier Berder, Olivier Sentieys
article
IEEE International Conference on Communications (ICC), May 2008, Beijing, China. pp.4601-4605
Accès au bibtex
BibTex
titre
Optimization of Routing and Reconfiguration Overhead in Programmable Processor Array Architectures
auteur
Christophe Wolinski, Krzysztof Kuchcinski, Jürgen Teich, Frank Hannig
article
16th International Symposium on Field-Programmable Custom Computing Machines (FCCM 2008), Apr 2008, Palo Alto, United States. pp.306-309, ⟨10.1109/FCCM.2008.16⟩
Accès au bibtex
BibTex
titre
A New Powerful Scalable Generic Multi-Standard LDPC Decoder Architecture
auteur
François Charot, Christophe Wolinski, Nicolas Fau, François Hamon
article
16th IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM 2008), Apr 2008, Palo Alto, United States. pp.314-315
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00449829/file/charot_fccm08.pdf BibTex
titre
Graph Constraints for Reconfigurable System Optimization
auteur
Christophe Wolinski, Krzysztof Kuchcinski
article
7th workshop of the Network for Sweden-based researchers and practitioners of Constraint programming (2008), Apr 2008, Göteborg, Sweden
Accès au bibtex
BibTex
titre
New Directions in Interconnect Performance Optimization
auteur
Antoine Courtay, Johann Laurent, Nathalie Julien, Olivier Sentieys
article
3rd International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2008. DTIS 2008., Mar 2008, Tozeur, Tunisia. pp.6, ⟨10.1109/DTIS.2008.4540228⟩
Accès au bibtex
BibTex
titre
Projet READY, une approche multidisciplinaire dans l’élaboration d’un outil d’aide au diagnostic de la dyslexie
auteur
Nolwenn Troles, Guylaine Le Jan, Régine Le Bouquin, Gérard Faucon, Dominique Pichancourt, Pascal Scalart, Jean-Emile Gombert
article
Colloque bilan neuropsychologique et démarches pédagogiques, Mar 2008, Lyon, France
Accès au bibtex
BibTex
titre
Automatic Selection of Application-Specific Reconfigurable Processor Extensions
auteur
Christophe Wolinski, Krzysztof Kuchcinski
article
Design, Automation & Test in Europe Conference (DATE ’08), Mar 2008, Munich, Germany. pp.1214-1219, ⟨10.1145/1403375.1403670⟩
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00451655/file/wolinski-date08.pdf BibTex
titre
A New Approach of Coding to Improve Speed and Noise Tolerance of On-Chip Busses
auteur
Sébastien Pillement, Jm. Philippe, Olivier Sentieys
article
International Conference on Design and Technology of Integrated Systems in Nanoscale Era (DTIS), Mar 2008, Tozeur, Tunisia
Accès au bibtex
BibTex
titre
A Framework for the Exploration of RTOS Dedicated to the Management of Hardware Reconfigurable Resources
auteur
Jean-Christophe Prévotet, Mohamed El Amine Benkhelifa, Bertrand Granado, Emmanuel Huck, Benoit Miramond, François Verdier, Daniel Chillet, Sébastien Pillement
article
ReConFig’08, 2008, Mexico. pp.61-66
Accès au bibtex
BibTex
titre
Efficient Dynamic Reconfiguration for Multi-context Embedded FPGA
auteur
Julien Lallet, Sébastien Pillement, Olivier Sentieys
article
21st Annual Symposium on Integrated Circuits and System Design, SBCCI’08, 2008, Gramado, Brazil. pp.210-215, ⟨10.1145/1404371.1404428⟩
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00446064/file/LalletSBCCI08.pdf BibTex

Book sections

titre
High-Level Synthesis of Loops Using the Polyhedral Model
auteur
Steven Derrien, Sanjay Rajopadhye, Patrice Quinton, Tanguy Risset
article
P. Coussy and A. Morawiec. High-Level Synthesis : From Algorithm to Digital Circuit, Spinger, pp.215-230, 2008
Accès au bibtex
BibTex

Other publications

titre
Interconnect Explorer: a High-Level Estimation Tool for On-Chip Interconnects
auteur
Antoine Courtay, Olivier Sentieys, Johann Laurent, Nathalie Julien
article
2008
Accès au bibtex
BibTex
titre
A Multifield Educational Project: study of planetary motions
auteur
Manuel Buisson, Yann Le Grand, Laurence Pasquereau, Renaud Delannay, Patrice Quinton
article
2008
Accès au bibtex
BibTex

Reports

titre
On the compact formulation of the derivation of a transfer matrix with respect to another matrix
auteur
Thibault Hilaire, Philippe Chevrel
article
[Research Report] RR-6760, INRIA. 2008
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00345508/file/RR-6760.pdf BibTex
titre
Finite Wordlength Controller Realizations using the Specialized Implicit Form
auteur
Thibault Hilaire, Philippe Chevrel, James Whidborne
article
[Research Report] RR-6759, INRIA. 2008
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00345490/file/RR-6759.pdf BibTex
titre
Finite Wordlength Controller Realizations using the Specialized Implicit Form
auteur
Thibault Hilaire, Philippe Chevrel, James Whidborne
article
[Research Report] PI 1915, 2008, pp.41
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00359004/file/PI-1915.pdf BibTex
titre
On the compact formulation of the derivation of a transfer matrix with respect to another matrix
auteur
Thibault Hilaire, Philippe Chevrel
article
[Research Report] PI 1916, 2008, pp.13
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00348344/file/PI-1916.pdf BibTex

Theses

titre
Mozaïc : plate-forme générique de modélisation et de conception d’architectures reconfigurables dynamiquement
auteur
Julien Lallet
article
Micro et nanotechnologies/Microélectronique. Université Rennes 1, 2008. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00446186/file/these-lallet.pdf BibTex
titre
Architectures matérielles pour la technologie WCDMA étendue aux systèmes mulit-antennes
auteur
Taofik Saïdi
article
Micro et nanotechnologies/Microélectronique. Université Rennes 1, 2008. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00446060/file/TheseTaoUnivRennesI.pdf BibTex

Preprints, Working Papers, …

titre
Exploitation optimale des circuits reconfigurables FPGA pour la mise en oeuvre d’un moteur de recherche de motifs
auteur
Yapi Georges Adouko, François Charot, Christophe Wolinski
article
2008
Accès au texte intégral et bibtex
https://hal.science/hal-00202772/file/Adouko_SympA2008.pdf BibTex

2007

Conference papers

titre
A New High Performance Multi Gigabit String Matching Engine
auteur
François Charot, Yapi Georges Adouko, Christophe Wolinski
article
International Conference on Engineering of Reconfigurable Systems & Algorithms, ERSA 2008, Jul 2007, Las Vegas, United States. pp.90-96
Accès au bibtex
BibTex

2006

Conference papers

titre
Intrinsic GMI noise reduction by DC BIAS
auteur
Lehui Ding, Sébastien Saez, Christophe Dolabdjian, Petru Ciureanu, L. Melo, Arthur Yelon, D. Menard
article
Conférence internationale EMSA’06, 2006, BILBAO, Spain
Accès au bibtex
BibTex

Theses

titre
Évaluation analytique de la précision des systèmes en virgule fixe
auteur
Romuald Rocher
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2006. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00609822/file/these.pdf BibTex

2002

Journal articles

titre
Modeling, Simulation and Control of High Speed Machine Tools using Robotics Formalism
auteur
Philippe Poignet, Matthieu Gautier, Wisama Khalil, Minh Tu Pham
article
Mechatronics, 2002, 12/3, pp.461-487. ⟨10.1016/S0957-4158(01)00003-4⟩
Accès au bibtex
BibTex

Conference papers

titre
Accelerometer Based Identification of Mechanical Systems
auteur
Philippe Poignet, Minh Tu Pham, Matthieu Gautier
article
ICRA 2002 – IEEE International Conference on Robotics and Automation, May 2002, Washington, DC, United States. pp.4293-4298, ⟨10.1109/ROBOT.2002.1014433⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00268522/file/Accelerometer_Based_Identification_of_Mechanical_S.pdf BibTex

Theses

titre
Méthodologie de compilation d’algorithmes de traitement du signal pour les processeurs en virgule fixe sous contrainte de précision
auteur
Daniel Ménard
article
Traitement du signal et de l’image [eess.SP]. Université Rennes 1, 2002. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00609159/file/menard-4.pdf BibTex

2000

Conference papers

titre
Fast ASIP synthesis and power estimation for DSP application
auteur
Jean Gabriel Cousin, Matthieu Denoual, David Saillé, Olivier Sentieys
article
IEEE Signal Processing Systems (SiPS), 2000, United States. pp.ISBN: 0-7803-6488-0, ⟨10.1109/SIPS.2000.886757⟩
Accès au bibtex
BibTex
titre
Fast Power Estimation at the architectural Level
auteur
Matthieu Denoual, David Saillé, Jean Gabriel Cousin, Olivier Sentieys
article
Design of Circuits and Integrated Systems (DCIS), 2000, France. pp 1-9
Accès au bibtex
BibTex

1999

Conference papers

titre
PowerCheck : an architectural-level power estimation tool
auteur
Matthieu Denoual, David Saillé, Olivier Sentieys
article
Workshop on Multi-Architecture Low-Power Design (MALOPD), 1999, Moscou, Russia. pp 1-9
Accès au bibtex
BibTex

1995

Books

titre
Analyse d’images : Filtrage et segmentation
auteur
Philippe Bolon, Jean-Marc Chassery, Jean-Pierre Cocquerez, Didier Demigny, Christine Graffigne, Annick Montanvert, Sylvie Philipp, Rachid Zéboudj, Josiane Zerubia, Henri Maître
article
Jean-Pierre Cocquerez; Sylvie Philipp. MASSON, 1995, Enseignement de la physique, 2-225-84923-4
Accès au texte intégral et bibtex
https://hal.science/hal-00706168/file/Analyse%20d%27images-Filtrage-et-segmentation-JPC-SF.pdf BibTex

 

[bibshow file=http://www.irisa.fr/cairn/docs/cairn.bib]
Here is one reference [bibcite key=huriaux:hal-01341156] and some others [bibcite key=barrois:hal-01253494,deest:hal-01425018]
[/bibshow]