Publications

Publications HAL du labo/EPI Socrate

2024

Reports

titre
Deliverable D1 – Technical Report NF-PERSEUS 2023
auteur
Charbel Abdel Nour, Cédric Adjih, Karine Amis, Xavier Begaud, Matthieu Crussière, Antoine Durant, Marco Di Renzo, Catherine Douillard, Hajer El Hassani, Joumana Farah, Inbar Fijalkow, Davy Gaillot, Jean-Marie Gorce, Claire Goursaud, M. Guillaud, Didier Le Ruyet, Mabrouk Asma, Pascal Paganini, Dang-Kièn Germain Pham, Balakrishna Prabhu, Ghaya Rekaya Ben Othman, Eric Pierre Simon, Rafik Zayani
article
CEA – Commissariat à l’énergie atomique et aux énergies alternatives. 2024
Accès au texte intégral et bibtex
https://cea.hal.science/cea-04564147/file/NF_PERSEUS_D1_FV.pdf BibTex

2023

Journal articles

titre
Drivers’ Warning Application Through Personalized DSSS-CDMA Data Transmission by Using the FM Radio Broadcasting Infrastructure
auteur
Radu Gabriel Bozomitu, Florin Doru Hutu
article
IEEE Access, 2023, pp.1-21. ⟨10.1109/ACCESS.2023.3241611⟩
Accès au bibtex
BibTex

Conference papers

titre
Simulation framework for data transmission by FM radio broadcasting on a two-ray propagation channel
auteur
Ndangoh Dam Arantes, Florin Hutu, Radu Gabriel Bozomitu, Pierre Tsafack
article
ISSCS – 2023 International Symposium on Signals, Circuits and Systems, Jul 2023, Iasi, Romania. pp.1-4, ⟨10.1109/ISSCS58449.2023.10190935⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04173431/file/ISSCS_2023_hutu_CITI_final.pdf BibTex

2022

Journal articles

titre
Experimental Analysis of Spatial Modulation Systems in Mixed LOS/NLOS Scenarios
auteur
Yanni Zhou, Florin Hutu, Guillaume Villemaud
article
IEEE Access, 2022, pp.1-14. ⟨10.1109/ACCESS.2022.3152554⟩
Accès au bibtex
BibTex
titre
Performance Evaluation of Passive Tag to Tag Communications
auteur
Tarik Lassouaoui, Florin Hutu, Yvan Duroc, Guillaume Villemaud
article
IEEE Access, 2022, 10, pp.18832-18842. ⟨10.1109/ACCESS.2022.3149626⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03561069/file/Performance_Evaluation_of_Passive_Tag_to_Tag_Communications.pdf BibTex
titre
UHF RFID Temperature Sensor Tag Integrated into a Textile Yarn
auteur
Sofia Benouakta, Florin Doru Hutu, Yvan Duroc
article
Sensors, 2022, RF Sensors: Design, Optimization and Applications, 22 (3), pp.12. ⟨10.3390/s22030818⟩
Accès au bibtex
BibTex
titre
Escape the ClassRoom : retour sur la création et utilisation d’escape game en TD pour les transmissions optiques
auteur
Claire Goursaud
article
Journal sur l’enseignement des sciences et technologies de l’information et des systèmes, 2022, 21, pp.2030. ⟨10.1051/j3ea/20222030⟩
Accès au bibtex
BibTex

Conference papers

titre
Efficient Association of Low and High RF Power Rectifiers for Powering Ultra-Low Power Devices
auteur
Jesus Argote-Aguilar, Florin-Doru Hutu, Guillaume Villemaud, Guillaume Villemaud, Matthieu Gautier, Olivier Berder
article
ICECS 2022 – IEEE International Conference on Electronics Circuits and Systems, Oct 2022, Glasgow, United Kingdom. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03845342/file/argote22icecs.pdf BibTex
titre
Communications Tag à Tag Passives en RFID UHF
auteur
Tarik Lassouaoui, Florin Hutu, Guillaume Villemaud, Yvan Duroc
article
URSI 2022 – Journées scientifiques, Mar 2022, Paris, France. pp.54-58
Accès au texte intégral et bibtex
https://hal.science/hal-03620408/file/Communications_Tag_a_Tag_Passives_en_RFID_UHF.pdf BibTex

Reports

titre
A Formal Model of Interrupt-based Checkpointing with Peripherals
auteur
Pierre-Evariste Dagand, Gautier Berthou, Delphine Demange, Tanguy Risset
article
[Research Report] IRIF; IRISA; INSA RENNES. 2022, pp.1-36
Accès au texte intégral et bibtex
https://hal.science/hal-03557760/file/lctes2020.pdf BibTex

Theses

titre
Contributions au développement des communications de type tag à tag RFID passives pour l’internet des objets
auteur
Tarik Lassouaoui
article
Réseaux et télécommunications [cs.NI]. INSA de Lyon, 2022. Français. ⟨NNT : 2022ISAL0130⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-04107494/file/these.pdf BibTex

2021

Journal articles

titre
Lossless Differential Table Compression for Hardware Function Evaluation
auteur
Maxime Christ, Luc Forget, Florent de Dinechin
article
IEEE Transactions on Circuits and Systems II: Express Briefs, 2021, ⟨10.1109/TCSII.2021.3131405⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03040364/file/2021-TCASII-TableCompression.pdf BibTex
titre
Stretchable Textile Yarn Based on UHF RFID Helical Tag
auteur
Sofia Benouakta, Florin Hutu, Yvan Duroc
article
Textiles, 2021, New Research Trends for Textiles, 1 (3), pp.547- 557. ⟨10.3390/textiles1030029⟩
Accès au bibtex
BibTex
titre
Towards a Battery-Free Wake-Up Radio
auteur
Achille Fumtchum, Florin Doru Hutu, Pierre Tsafack, Guillaume Villemaud, Emmanuel Tanyi
article
Electronics, 2021, 10 (20), pp.2449. ⟨10.3390/electronics10202449⟩
Accès au bibtex
BibTex
titre
A Survey of RF Energy Harvesting Circuits
auteur
Achille Fumtchum, Pierre Tsafack, Florin Doru Hutu, Guillaume Villemaud, Emmanuel Tanyi
article
International Journal of Innovative Technology and Exploring Engineering, 2021, 10 (7), pp.99-106. ⟨10.35940/ijitee.G8944.0510721⟩
Accès au bibtex
BibTex
titre
Drivers’ Warning Application Through Image Notifications on the FM Radio Broadcasting Infrastructure
auteur
Radu Gabriel Bozomitu, Florin Doru Hutu, Nicolas de Pinho Ferreira
article
IEEE Access, 2021, 9, pp.13553 – 13572. ⟨10.1109/ACCESS.2021.3050669⟩
Accès au bibtex
BibTex

Conference papers

titre
Modulation Depth Enhancement for Randomly Arranged Tags in Passive RFID Tag to Tag Communications
auteur
Tarik Lassouaoui, Florin Hutu, Guillaume Villemaud, Yvan Duroc
article
RFID-TA 2021 – 11th IEEE International Conference on RFID Technology and Applications, Oct 2021, Delhi, India. pp.1-4, ⟨10.1109/RFID-TA53372.2021.9617243⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03373237/file/Modulation%20Depth%20Enhancement%20for%20Randomly%20Arranged%20Tags%20in%20Passive%20RFID%20Tag%20to%20Tag%20Communications.pdf BibTex
titre
Passive UHF RFID Yarn For Temperature Sensing Applications
auteur
Sofia Benouakta, Florin Hutu, Yvan Duroc
article
RFID-TA 2021 – 11th IEEE international Conference on RFID Technology and Applications, Oct 2021, Dehli, India. pp.1-3, ⟨10.1109/RFID-TA53372.2021.9617438⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03389473/file/RFID_TA_2021_SB.pdf BibTex
titre
Nonlinear Power Amplifier Effects on a Full Duplex Spatial Modulation System
auteur
Yanni Zhou, Florin Hutu, Guillaume Villemaud, Taneli Riihonen
article
PIMRC 2021 – IEEE 32nd Annual International Symposium on Personal, Indoor and Mobile Radio Communications, Sep 2021, Oulu / Virtual, Finland. pp.1-5, ⟨10.1109/PIMRC50174.2021.9569720⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03275842/file/PIMRC.pdf BibTex
titre
Driver’s warning notifications by using FM RDS technology
auteur
Florin Hutu, Radu Gabriel Bozomitu
article
ISSCS 2021 – International Symposium on Signals, Circuits and Systems, Jul 2021, Iasi, Romania. pp.1-4, ⟨10.1109/ISSCS52333.2021.9497384⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03278478/file/ISSCS_SDR_FM.pdf BibTex
titre
Resource Optimal Truncated Multipliers for FPGAs
auteur
Andreas Böttcher, Martin Kumm, Florent de Dinechin
article
ARITH 2021 – 28th IEEE International Symposium on Computer Arithmetic, Jun 2021, Torino, Italy. pp.1-8, ⟨10.1109/ARITH51176.2021.00029⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03220290/file/main.pdf BibTex
titre
Towards Arithmetic-Centered Filter Design
auteur
Florent de Dinechin, Silviu-Ioan Filip, Martin Kumm, Anastasia Volkova
article
ARITH 2021 – 28th IEEE Symposium on Computer Arithmetic, Jun 2021, Torino, Italy. pp.1-4, ⟨10.1109/ARITH51176.2021.00032⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03220258/file/2021-Arith-Filters.pdf BibTex
titre
Maquette pédagogique pour l’étude des transmissions Radio Data System
auteur
Nicolas de Pinho Ferreira, Florin Doru Hutu
article
CETSIS 2021 – Colloque de l’Enseignement des Technologies et des Sciences de l’Information et des Systèmes, Jun 2021, Valenciennes, France
Accès au bibtex
BibTex

Theses

titre
On the performance of spatial modulation and full duplex radio architectures
auteur
Yanni Zhou
article
Networking and Internet Architecture [cs.NI]. Université de Lyon, 2021. English. ⟨NNT : 2021LYSEI094⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03670874/file/these.pdf BibTex
titre
Operating system dedicated to NVRAM-based low power embedded systems
auteur
Gautier Berthou
article
Embedded Systems. Université de Lyon, 2021. English. ⟨NNT : 2021LYSEI021⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03192646/file/these.pdf BibTex

2020

Journal articles

titre
Impact of Receiver Non-idealities on a Full Duplex Spatial Modulation System Performance
auteur
Yanni Zhou, Florin Hutu, Guillaume Villemaud
article
IEEE Wireless Communications Letters, 2020, 9 (12), pp.2083-2087. ⟨10.1109/LWC.2020.3013195⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02912329/file/WCL2020-0861%20%281%29.PDF BibTex
titre
UHF RFID Elastic Textile Yarn
auteur
Sofia Benouakta, Florin Hutu, Daniele Sette, Yvan Duroc
article
Microwave and Optical Technology Letters, 2020, pp.1-17. ⟨10.1002/mop.32457⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02617131/file/UHF%20RFID%20Elastic%20Textile%20Yarn.pdf BibTex
titre
Application-specific arithmetic in high-level synthesis tools
auteur
Yohann Uguen, Florent de Dinechin, Victor Lezaud, Steven Derrien
article
ACM Transactions on Architecture and Code Optimization, 2020, ⟨10.1145/3377403⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02423363/file/hal-hls-arith-v2.pdf BibTex
titre
State-Space Model Representation to Characterize an Energy Harvesting Circuit
auteur
Regis Rousseau, Guillaume Villemaud, Florin Hutu
article
Radio Science Letters, In press
Accès au texte intégral et bibtex
https://hal.science/hal-02971001/file/ursi2020_RSL_cor_v3.pdf BibTex
titre
Study of Distributed Beamforming for Wireless Power Transfer in Presence of RF Impairments
auteur
Florin Hutu, Vincent Léchappé, Guillaume Villemaud, Michaël Di Loreto
article
Radio Science Letters, 2020, 2, ⟨10.46620/20-0040⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02977808/file/RSL-hutu_citi_final.pdf BibTex

Conference papers

titre
Faust2FPGA for Ultra-Low Audio Latency: Preliminary work in the Syfala project
auteur
Tanguy Risset, Romain Michon, Yann Orlarey, Stéphane Letz, Gero Müller, Adeyemi Gbadamosi
article
IFC 2020 – Second International Faust ConferenceInternationnal Faust Conference, Dec 2020, Paris, France. pp.1-9
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03116958/file/IFC-20.pdf BibTex
titre
Distributed beamforming for wireless power transfer
auteur
Florin Hutu, Vincent Léchappé, Guillaume Villemaud, Michaël Di Loreto
article
URSI GASS 2020 – 33rd URSI General Assembly and Scientific Symposium, Aug 2020, Rome, Italy. pp.1-4, ⟨10.23919/URSIGASS49373.2020.9232305⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02916171/file/URSI_GASS2020_CITI_Hutu.pdf BibTex
titre
Full Duplex Spatial Modulation System in presence of IQ imbalance
auteur
Yanni Zhou, Florin Hutu, Guillaume Villemaud
article
URSI GASS 2020, Aug 2020, Rome, Italy. pp.1-3
Accès au texte intégral et bibtex
https://hal.science/hal-02918347/file/PID6327409.pdf BibTex
titre
MPU-based incremental checkpointing for transiently-powered systems
auteur
Gautier Berthou, Kevin Marquet, Tanguy Risset, Guillaume Salagnac
article
DSD 2020 23rd Euromicro Conference on Digital System Design, Aug 2020, Kranj, France. pp.89-96, ⟨10.1109/DSD51259.2020.00025⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03116944/file/BMRS-dsd20.pdf BibTex
titre
Accurate Power Consumption Evaluation for Peripherals in Ultra Low-Power embedded systems
auteur
Gautier Berthou, Kevin Marquet, Tanguy Risset, Guillaume Salagnac
article
GIoTS 2020 – International conference on Global Internet of Things Summit, Jun 2020, Dublin, Ireland. pp.89-96, ⟨10.1109/GIOTS49054.2020.9119593⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03116953/file/BMRS-giots20.pdf BibTex
titre
Intermittent Computing with Peripherals, Formally Verified
auteur
Gautier Berthou, Pierre-Evariste Dagand, Delphine Demange, Rémi Oudin, Tanguy Risset
article
LCTES ’20 – 21st ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems, Jun 2020, London / Virtual, United Kingdom. pp.85-96, ⟨10.1145/3372799.3394365⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02556878/file/lctes2020.pdf BibTex
titre
Full Duplex Spatial Modulation System Performance Depending on Self-interference Cancellation Accuracy
auteur
Yanni Zhou, Florin Hutu, Guillaume Villemaud
article
EuCAP 2020 – 14th European Conference on Antennas and Propagation, Mar 2020, Copenhagen, Denmark. pp.1-5
Accès au texte intégral et bibtex
https://hal.science/hal-02463615/file/1570602887.pdf BibTex
titre
Next Generation Arithmetic for Edge Computing
auteur
Andre Guntoro, Cecilia de La Parra, Farhad Merchant, Florent de Dinechin, John Gustafson, Martin Langhammer, Rainer Leupers, Sangeeth Nambiar
article
DATE 2020 – Design, Automation and Test in Europe Conference, Mar 2020, Grenoble, France. pp.1357-1365, ⟨10.23919/DATE48585.2020.9116196⟩
Accès au bibtex
BibTex
titre
Theoretical BER Evaluation of Passive RFID Tag-To-Tag Communications
auteur
Tarik Lassouaoui, Florin Hutu, Yvan Duroc, Guillaume Villemaud
article
2020 IEEE Radio and Wireless Symposium, Jan 2020, San Antonio, United States. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-02458829/file/Submission_Format_for_Proceedings__Title_in_18_point_Times_font_.pdf BibTex
titre
Analysis of a Spatial Modulation System over Time-varying Rician Fading Channel with a CSI Detector
auteur
Yanni Zhou, Florin Hutu, Guillaume Villemaud
article
RWW 2020 – IEEE Radio & Wireless Week, Jan 2020, San Antonio, United States. pp.1-5
Accès au texte intégral et bibtex
https://hal.science/hal-02458825/file/RWW_Yanni.pdf BibTex

Reports

titre
Référentiel de connaissances pour un numérique éco-responsable
auteur
Pierre Boulet, Sylvain Bouveret, Aurélie Bugeau, Frenoux Emmanuelle, Julien Lefevre, Anne-Laure Ligozat, Kevin Marquet, Philippe Marquet, Olivier Michel, Olivier Ridoux
article
[Rapport de recherche] EcoInfo. 2020
Accès au texte intégral et bibtex
https://hal.science/hal-02954188/file/referentiel.pdf BibTex
titre
Smart Anything – Comment alimenter des milliards d’objets connectés ?
auteur
Guillaume Villemaud, Bogdan Stefanescu
article
[Rapport Technique] INSA LYON; SPIE ICS. 2020
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03020278/file/Smart%20Anything.pdf BibTex

Theses

titre
A variable precision hardware acceleration for scientific computing
auteur
Andrea Bocco
article
Discrete Mathematics [cs.DM]. Université de Lyon, 2020. English. ⟨NNT : 2020LYSEI065⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03102749/file/these.pdf BibTex

Preprints, Working Papers, …

titre
Experimental Evidence for Heavy Tailed Interference in the IoT
auteur
Laurent Clavier, Troels Pedersen, Ignacio Rodriguez, Mads Lauridsen, Malcolm Egan
article
2020
Accès au texte intégral et bibtex
https://hal.science/hal-02521928/file/ExpWCL_4p.pdf BibTex

2019

Journal articles

titre
Sytare: a Lightweight Kernel for NVRAM-Based Transiently-Powered Systems
auteur
Gautier Berthou, Tristan Delizy, Kevin Marquet, Tanguy Risset, Guillaume Salagnac
article
IEEE Transactions on Computers, 2019, 68 (9), pp.1390 – 1403. ⟨10.1109/TC.2018.2889080⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01954979/file/ieee-tc-si.pdf BibTex
titre
RF Communication and IoT Paradigms System Proposal for Effective Consumption and Equity Distribution of Community Water in Developing Countries: A Case Study
auteur
Pierre Tsafack, Florin Hutu, Emmanuel Tanyi, Bruno Allard
article
Industrial Engineering, 2019, pp.1-9. ⟨10.11648/j.ie.20190301.12⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02383267/file/article_author_version.pdf BibTex
titre
Guest Editors Introduction: Special Section on Computer Arithmetic
auteur
Javier Bruguera, Florent de Dinechin
article
IEEE Transactions on Computers, 2019, 68 (7), pp.951-952. ⟨10.1109/TC.2019.2918447⟩
Accès au bibtex
BibTex
titre
Towards Hardware IIR Filters Computing Just Right: Direct Form I Case Study
auteur
Anastasia Volkova, Matei Istoan, Florent de Dinechin, Thibault Hilaire
article
IEEE Transactions on Computers, 2019, 68 (4), pp.597 – 608. ⟨10.1109/TC.2018.2879432⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01561052/file/LTICJR.pdf BibTex

Conference papers

titre
A Linux Kernel Scheduler Extension for Multi-Core Systems
auteur
Aleix Roca, Samuel Rodriguez, Albert Segura, Kevin Marquet, Vicenç Beltran
article
HiPC 2019 – 26th IEEE International Conference on High Performance Computing, Data, and Analytics, Dec 2019, Hyderabad, India. pp.1-10
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02410082/file/HiPC.pdf BibTex
titre
New Approaches For Augmented UHF RFID Textile Yarn
auteur
Sofia Benouakta, Florin Hutu, Yvan Duroc
article
RFID-TA, Sep 2019, Pise, Italy. pp.1-5
Accès au texte intégral et bibtex
https://hal.science/hal-02308051/file/RFID%20TA%20final%20manuscript%20Sofia%20Benouakta.pdf BibTex
titre
Evaluating the hardware cost of the posit number system
auteur
Yohann Uguen, Luc Forget, Florent de Dinechin
article
FPL 2019 – 29th International Conference on Field-Programmable Logic and Applications (FPL), Sep 2019, Barcelona, Spain. pp.106 – 113
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02130912/file/hal_marto_final.pdf BibTex
titre
High Efficiency Rectifier for a Quasi-Passive Wake-up Radio
auteur
Achille Fumtchum, Florin Hutu, Pierre Tsafack, Guillaume Villemaud, Emmanuel Tanyi
article
ISSCS 2019 – 14-th International Symposium on Signals, Circuits and Systems, Jul 2019, Iasi, Romania. pp.1-4, ⟨10.1109/ISSCS.2019.8801754⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02138667/file/ISSCS%20article%20v10.pdf BibTex
titre
Considerations on the equivalent electric models of a UHF RFID Helical Antenna Yarn
auteur
Sofia Benouakta, Santasri Koley, Florin Hutu, Yvan Duroc
article
ISSCS 2019 – 14-th International Symposium on Signals, Circuits and Systems, Jul 2019, Iasi, Romania. pp.1-4, ⟨10.1109/ISSCS.2019.8801787⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02138670/file/ISSCS_rafting.pdf BibTex
titre
Hardware cost evaluation of the posit number system
auteur
Luc Forget, Yohann Uguen, Florent de Dinechin
article
Compas’2019 – Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France. pp.1-7
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02131982/file/2019-Compas.pdf BibTex
titre
Variable Precision Floating-Point RISC-V Coprocessor Evaluation using Lightweight Software and Compiler Support
auteur
Tiago T Jost, Andrea Bocco, Yves Durand, Christian Fabre, Florent de Dinechin, Albert Cohen
article
CARRV 2019 – Third Workshop on Computer Architecture Research with RISC-V, Jun 2019, Phoenix, AZ, United States. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02161621/file/JOST_BOCCO_CARRV2019.pdf BibTex
titre
Efficiency of Wireless Power transfer with a Multi-sine Source Optimized for the Propagation Channel
auteur
Regis Rousseau, Guillaume Villemaud, Florin Hutu
article
WPW 2019 – IEEE MTT’s Wireless Power Week, Jun 2019, Londre, United Kingdom. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-02146410/file/1570527190-final.pdf BibTex
titre
Table-Based versus Shift-And-Add constant multipliers for FPGAs
auteur
Florent de Dinechin, Silviu-Ioan Filip, Luc Forget, Martin Kumm
article
ARITH 2019 – 26th IEEE Symposium on Computer Arithmetic, Jun 2019, Kyoto, Japan. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02147078/file/2019-TruncatedCM.pdf BibTex
titre
Reflections on 10 years of FloPoCo
auteur
Florent de Dinechin
article
ARITH 2019 – 26th IEEE Symposium on Computer Arithmetic, Jun 2019, kyoto, Japan. pp.1-3
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02161527/file/2019-Arith-SpecialSessionF2D.pdf BibTex
titre
A type-safe arbitrary precision arithmetic portability layer for HLS tools
auteur
Luc Forget, Yohann Uguen, Florent de Dinechin, David Thomas
article
HEART 2019 – International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, Jun 2019, Nagasaki, Japan. pp.1-6, ⟨10.1145/3337801.3337809⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02131798/file/hal_hint.pdf BibTex
titre
Conception d’une antenne hélice pour fil textile RFID UHF extensible
auteur
Sofia Benouakta, Santasri Koley, Florin Doru Hutu, Yvan Duroc
article
JNM 2019, May 2019, Caen, France. pp.865-868
Accès au texte intégral et bibtex
https://hal.science/hal-02137601/file/Sofia%20Benouakta_JNM2019_version%20finale.pdf BibTex
titre
Capteur d’humidité passif intégré dans un fil textile RFID UHF
auteur
Santasri Koley, Sofia Benouakta, Florin Doru Hutu, Yvan Duroc
article
JNM 2019, May 2019, Caen, France. pp.474-478
Accès au bibtex
BibTex
titre
Passive UHF RFID yarn for relative humidity sensing application
auteur
Santasri Koley, Sofia Benouakta, Florin Doru Hutu, Yvan Duroc
article
EuCAP 2019 – 13th European Conference on Antennas and Propagation, Mar 2019, Krakow, Poland. pp.1-4
Accès au bibtex
BibTex
titre
SMURF: Scalar Multiple-precision Unum Risc-V Floating-point Accelerator for Scientific Computing
auteur
Andrea Bocco, Yves Durand, Florent de Dinechin
article
CoNGA 2019 – Conference on Next-Generation Arithmetic, Mar 2019, Singapour, Singapore. pp.1-8, ⟨10.1145/3316279.3316280⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02087098/file/2019-CoNGA-SMURF.pdf BibTex
titre
Posits: the good, the bad and the ugly
auteur
Florent de Dinechin, Luc Forget, Jean-Michel Muller, Yohann Uguen
article
CoNGA 2019 – Conference on Next-Generation Arithmetic, Mar 2019, Singapore, Singapore. pp.1-10, ⟨10.1145/3316279.3316285⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01959581/file/a6-de_Dinechin.pdf BibTex

Book sections

titre
Introduction aux impacts environnementaux du numérique
auteur
Kevin Marquet, Jacques Combaz, Francoise Berthoud
article
1024, bulletin de la Société Informatique de France, pp.85-97, 2019
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02410129/file/1024-numero-13_Article19.pdf BibTex

Patents

titre
Installation de ventilation et procédé comprenant la transmission d’informations par propagation des ondes dans le réseau de conduites de ventilation
auteur
Guillaume Villemaud, Florin Doru Hutu, Laurent Demia, Hector Bravo
article
France, N° de brevet: F1758247. 2019
Accès au bibtex
BibTex
titre
Method and device for floating point representation with variable precision
auteur
Andrea Bocco, Yves Durand, Florent de Dinechin
article
France, Patent n° : 1902386. 2019
Accès au bibtex
BibTex

Theses

titre
Gestion de la mémoire dynamique pour les systèmes embarqués avec mémoire hétérogène
auteur
Tristan Delizy
article
Systèmes embarqués. Université de Lyon, 2019. Français. ⟨NNT : 2019LYSEI134⟩
Accès au texte intégral et bibtex
https://hal.science/tel-02429017/file/these.pdf BibTex
titre
High-level synthesis and arithmetic optimizations
auteur
Yohann Uguen
article
Mechanics [physics.med-ph]. Université de Lyon, 2019. English. ⟨NNT : 2019LYSEI099⟩
Accès au texte intégral et bibtex
https://hal.science/tel-02420901/file/these.pdf BibTex

2018

Journal articles

titre
Dynamic Interference for Uplink SCMA in Large-Scale Wireless Networks without Coordination
auteur
Malcolm Egan, Laurent Clavier, Ce Zheng, Mauro de Freitas, Jean-Marie Gorce
article
EURASIP Journal on Wireless Communications and Networking, 2018, 2018 (1), pp.1-14. ⟨10.1186/s13638-018-1225-z⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01871576/file/Egan2018_Article_DynamicInterferenceForUplinkSC.pdf BibTex
titre
Approximate Capacity Region of the Two-User Gaussian Interference Channel with Noisy Channel-Output Feedback
auteur
Victor Quintero, Samir Perlaza, Iñaki Esnaola, Jean-Marie M Gorce
article
IEEE Transactions on Information Theory, 2018, 64 (7), pp.5326-5358. ⟨10.1109/TIT.2018.2827076⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01397118/file/QPEG-TIT-2018.pdf BibTex
titre
Towards Data-Driven On-Demand Transport
auteur
Malcolm Egan, Jan Drchal, Jan Mrkos, Michal Jakob
article
EAI Endorsed Transactions on Industrial Networks and Intelligent Systems, 2018, 5 (14), pp.1-10. ⟨10.4108/eai.27-6-2018.154835⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01839452/file/Data_Driven_ODT_Egan_Camera.pdf BibTex
titre
Coexistence in Molecular Communications
auteur
Malcolm Egan, Trang C Mai, Trung Q Duong, Marco Di Renzo
article
Nano Communication Networks, 2018, 16, pp.37-44. ⟨10.1016/j.nancom.2018.02.006⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01650966/file/EGAN_coexistence.pdf BibTex

Conference papers

titre
Efficiency of Orthogonal Codes for Quasi-passive Wake-Up Radio Receivers using Frequency Footprint IDs
auteur
Mark S Widmaier, Florin Hutu, Guillaume Villemaud
article
ICECS 2018 – 25th IEEE International Conference on Electronics Circuits and Systems, Dec 2018, Bordeaux, France. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-01973114/file/ICECS2018_WakeUp_Hadamard.pdf BibTex
titre
Approximate Nash Region of the Gaussian Interference Channel with Noisy Output Feedback
auteur
Victor Quintero, Samir M. Perlaza, Jean-Marie Gorce, Harold Vincent Poor
article
ITW 2018 – IEEE Information Theory Workshop, Nov 2018, Guangzhou, China. pp.1-5
Accès au texte intégral et bibtex
https://hal.science/hal-01876880/file/VF_QPGP-ITW-2018.pdf BibTex
titre
Optimal Inputs for Some Classes of Degraded Wiretap Channels
auteur
Alex Dytso, Malcolm Egan, Samir Perlaza, H Vincent Poor, Shlomo Shamai
article
2018 IEEE Information Theory Workshop (ITW), Nov 2018, Guangzhou, China
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01884159/file/1570463767.pdf BibTex
titre
Analyzing Ultrasound-based Physical Tracking Systems
auteur
Mathieu Cunche, Leonardo Sampaio Cardoso
article
GreHack 2018, Nov 2018, Grenoble, France
Accès au bibtex
BibTex
titre
PyGA: a Python to FPGA compiler prototype
auteur
Yohann Uguen, Eric Petit
article
AI-SEPS 2018 – 5th ACM SIGPLAN International Workshop on Artificial Intelligence and Empirical Methods for Software Engineering and Parallel Computing Systems, Nov 2018, Boston, United States. pp.11-15, ⟨10.1145/3281070.3281072⟩
Accès au bibtex
BibTex
titre
Estimating the Impact of Architectural and Software Design Choices on Dynamic Allocation of Heterogeneous Memories
auteur
Tristan Delizy, Stéphane Gros, Kevin Marquet, Matthieu Moy, Tanguy Risset, Guillaume Salagnac
article
RSP 2018 – 29th International Symposium on Rapid System Prototyping, Oct 2018, Turin, Italy. pp.1-7
Accès au texte intégral et bibtex
https://hal.science/hal-01891599/file/rsp2018.pdf BibTex
titre
On Regular Schemes and Tight Frames
auteur
Malcolm Egan
article
SETA 2018 – Sequences and Their Applications, Oct 2018, Hong Kong, Hong Kong SAR China. pp.1-12
Accès au texte intégral et bibtex
https://hal.science/hal-01839448/file/SETA2018_EGAN_Camera.pdf BibTex
titre
UWB Ranging for Rapid Movements
auteur
Tanguy Risset, Claire Goursaud, Xavier Brun, Kevin Marquet, Fabrice Meyer
article
IPIN 2018, Sep 2018, Nantes, France. pp.1-8, ⟨10.1109/IPIN.2018.8533820⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01951454/file/UWB.pdf BibTex
titre
Design and Optimization of an Autonomous, Ambulatory Cardiac Event Monitor
auteur
Bertrand Massot, Florin Hutu, Claudine Gehin, Norbert Noury
article
IEEE International Conference on E-health Networking, Application & Services (HealthCom 2018), Sep 2018, Ostrava, Czech Republic
Accès au texte intégral et bibtex
https://hal.science/hal-01879334/file/main.pdf BibTex
titre
Uplink Multiple Base Stations Diversity for UNB based IoT networks
auteur
Yuqi Mo, Claire Goursaud, Jean-Marie Gorce
article
CAMA 2018 – IEEE International Conference on Antenna Measurement and Applications, Sep 2018, Västerås, Sweden. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01887640/file/CAMA2018.pdf BibTex
titre
NumaMMA: NUMA MeMory Analyzer
auteur
François Trahay, Manuel Selva, Lionel Morel, Kevin Marquet
article
ICPP 2018 – 47th International Conference on Parallel Processing, Aug 2018, Eugene, United States. pp.1-10, ⟨10.1145/3225058.3225094⟩
Accès au texte intégral et bibtex
https://cea.hal.science/cea-01854072/file/icpp18.pdf BibTex
titre
Quels objets en NVRAM ? Placement en mémoires de travail hétérogènes
auteur
Tristan Delizy, Stéphane Gros, Kevin Marquet, Matthieu Moy, Tanguy Risset, Guillaume Salagnac
article
Compas 2018 – Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2018, Toulouse, France. pp.1-8
Accès au texte intégral et bibtex
https://hal.science/hal-01891398/file/compas2018.pdf BibTex
titre
Une architecture minimisant les échanges entre processeur et mémoire
auteur
Florent de Dinechin, Maxime Darrin, Antonin Dudermel, Sébastien Michelland, Alban Reynaud
article
ComPAS 2018 – Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2018, Toulouse, France. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01959855/file/2018-COMPAS.pdf BibTex
titre
Massive Machine Type Communications Uplink Traffic: Impact of Beamforming at the Base Station
auteur
Mathieu Goutay, Leonardo Cardoso, Claire Goursaud
article
ICT 2018 – 25th International Conference on Telecommunications, Jun 2018, Saint Malo, France. pp.493-497, ⟨10.1109/ICT.2018.8464894⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01875596/file/PID5343135.pdf BibTex
titre
Code Domain Non Orthogonal Multiple Access versus ALOHA: a simulation based study
auteur
Diane Duchemin, Jean-Marie Gorce, Claire Goursaud
article
ICT 2018 – 25th International Conference on Telecommunications, Jun 2018, Saint-Malo, France. pp.445-450, ⟨10.1109/ICT.2018.8464836⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01801103/file/1570445669.pdf BibTex
titre
Karatsuba with Rectangular Multipliers for FPGAs
auteur
Martin Kumm, Oscar Gustafsson, Florent de Dinechin, Johannes Kappauf, Peter Zipf
article
ARITH 2018 – 25th IEEE International Symposium on Computer Arithmetic, Jun 2018, Amherst, United States. pp.13-20, ⟨10.1109/ARITH.2018.8464809⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01773447/file/2018-Arith-rectangularKaratsuba.pdf BibTex
titre
Simultaneous Information and Energy Transmission: A Finite Block-Length Analysis
auteur
Samir Perlaza, Ali Tajer, Harold Vincent Poor
article
SPAWC 2018 – IEEE 19th International Workshop on Signal Processing Advances in Wireless Communications, Jun 2018, Kalamata, Greece. pp.1-5, ⟨10.1109/SPAWC.2018.8446028⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01722794/file/Simultaneous-Energy-Perlaza.pdf BibTex
titre
Aerosol Jets from Multiple Aerodynamic Lenses for Generic Processing of Nanocomposite Coatings on Large Surfaces
auteur
Olivier Sublemontier, Youri Rousseau, Yann Leconte, Christian Petit, Eric Monsifrot, Frédéric Perry, Jean-Paul Gaston, Patrick Chapon, Michel Stchakovsky, Pascal Briois, Alain Billard, Franck Torrent
article
Aerosol Technology, Jun 2018, Bilbao, Spain
Accès au texte intégral et bibtex
https://cea.hal.science/cea-02339800/file/Sublemontier_AerosolTechno2018.pdf BibTex
titre
Multiple Base Stations Diversity for UNB Systems: Theoretical Analysis and Performances
auteur
Yuqi Mo, Claire Goursaud, Jean-Marie Gorce
article
ISNCC 2018 – International Symposium on Networks, Computers and Communications, Jun 2018, Rome, Italy. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01887619/file/isncc2018.pdf BibTex
titre
Bénéfice de l’Annulation Successive d’Interférence pour des Réseaux Ultra Narrow Band : Théorie et Application à l’IoT
auteur
Yuqi Mo, Claire Goursaud, Jean-Marie Gorce
article
ALGOTEL 2018 – 20èmes Rencontres Francophones sur les Aspects Algorithmiques des Télécommunications, May 2018, Roscoff, France. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-01783923/file/algotel2018_mo_final_version.pdf BibTex
titre
On the Use of Vector Fitting and State-Space Modeling to Maximize the DC Power Collected by a Wireless Power Transfer System
auteur
Regis Rousseau, Florin Hutu, Guillaume Villemaud
article
AT-RASC 2018 – 2nd URSI Atlantic Radio conference, May 2018, Grande Canarie, Spain. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-01903660/file/ursi_atrasc.pdf BibTex
titre
Coordination via Advection Dynamics in Nanonetworks with Molecular Communication
auteur
Malcolm Egan, Trang C Mai, Trung Q Duong, Marco Di Renzo
article
IEEE International Conference on Communications (ICC 2018), May 2018, Kansas City, United States. pp.1-6, ⟨10.1109/ICC.2018.8422573⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01696268/file/ICC_EGAN_2018.pdf BibTex
titre
Analysis of QoE for adaptive video streaming over wireless networks
auteur
Sudheer Poojary, Rachid El-Azouzi, Eitan Altman, Albert Sunny, Imen Triki, Majed Haddad, Tania Jimenez, Stefan Valentin, Dimitrios Tsilimantos
article
WiOpt 2018 – 16th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks, May 2018, Shanghai, China. pp.1-8, ⟨10.23919/WIOPT.2018.8362846⟩
Accès au bibtex
BibTex
titre
Wireless Transmission in Ventilation (HVAC) Ducts for the Internet of Things and Smarter Buildings: Proof of Concept and Specific Antenna Design
auteur
Guillaume Villemaud, Florin Hutu, Pierre Belloche, Fatimazhra Kninech
article
EuCAP 2018 – 12th European Conference on Antennas and Propagation, Apr 2018, Londres, United Kingdom. pp.1-4, ⟨10.1049/cp.2018.0471⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01973176/file/Paper_Villemaud_EUCAP2018v6.pdf BibTex
titre
Cognitive Molecular Communication
auteur
Malcolm Egan, Trung Q Duong, Marco Di Renzo, Jean-Marie Gorce, Ido Nevat, Valeria Loscrì
article
2018 -3rd Workshop on Molecular Communications, Apr 2018, Ghent, Belgium. pp.1-2
Accès au texte intégral et bibtex
https://hal.science/hal-01728766/file/Cognitive_Molecular_Communications_Egan.pdf BibTex
titre
Capacity Approximation of Continuous Channels by Discrete Inputs
auteur
Malcolm Egan, Samir Perlaza
article
CISS 2018 – 52nd Annual Conference on Information Sciences and Systems, Mar 2018, Princeton, United States. pp.1-6, ⟨10.1109/CISS.2018.8362269⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01686036/file/CISS2018_EganPerlaza.pdf BibTex
titre
Peripheral State Persistence and Interrupt Management For Transiently Powered Systems
auteur
Gautier Berthou, Tristan Delizy, Kevin Marquet, Tanguy Risset, Guillaume Salagnac
article
NVMW 2018 – 9th Annual Non-Volatile Memories Workshop, Mar 2018, San Diego, United States. pp.1-2
Accès au texte intégral et bibtex
https://hal.science/hal-01943919/file/nvmw2018-paper73.pdf BibTex
titre
Poster: Insights into RGB-LED to Smartphone Communication
auteur
Alexis Duque, Razvan Stanica, Hervé Rivano, Claire Goursaud, Adrien Desportes
article
EWSN 2018 – International Conference on Embedded Wireless Systems and Networks, Feb 2018, Madrid, Spain. pp.173-174
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01683605/file/ewsn18-poster.pdf BibTex
titre
Wireless Transmission in Ventilation (HVAC) Ducts for the Internet of Things and Smarter Buildings: Proof of Concept and Specific AntennaDesign
auteur
Guillaume Villemaud, Florin Hutu, Pierre Belloche, Fatimazhra Kninech
article
IRACON 2018 – 6th MC and 6th Technical Meeting, Jan 2018, Nicosie, Cyprus. pp.1-6
Accès au texte intégral et bibtex
https://hal.science/hal-01973399/file/COST_IRACON_jan2018_TD%2818%2906030-1.pdf BibTex
titre
On the use of the FBMC modulation to increase the performance of a wake-up radio
auteur
Florin Hutu, Guillaume Villemaud
article
IEEE Radio and Wireless Symposium (RWS 2018), Jan 2018, Anaheim, CA, United States. pp.139-142, ⟨10.1109/RWS.2018.8304968⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01845177/file/RWW_CITI_A4.pdf BibTex

Books

titre
Handbook of Floating-point Arithmetic (2nd edition)
auteur
Jean-Michel Muller, Nicolas Brunie, Florent de Dinechin, Claude-Pierre Jeannerod, Mioara Joldes, Vincent Lefèvre, Guillaume Melquiond, Nathalie Revol, Serge Torres
article
Birkhäuser Basel, pp.1-627, 2018, 978-3319765259. ⟨10.1007/978-3-319-76526-6⟩
Accès au bibtex
BibTex

Poster communications

titre
The INRIA ZEP project: NVRAM and Harvesting for Zero Power Computations
auteur
Gautier Berthou, Arnaud Carer, Kevin Marquet, Ivan Miro-Panades, Davide Pala, Isabelle Puaut, Fabrice Rastello, Tanguy Risset, Erven Rohou, Guillaume Salagnac, Olivier Sentieys, Bharam Yarahmadi, Henri-Pierre Charles
article
NVMW 2018 – 10th Annual Non-Volatile Memories Workshop, Mar 2018, San Diego, United States. pp.1
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941766/file/ZEP-NVMW18.pdf BibTex

Theses

titre
Ultra narrow band based IoT networks
auteur
Yuqi Mo
article
Networking and Internet Architecture [cs.NI]. Université de Lyon, 2018. English. ⟨NNT : 2018LYSEI069⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02061756/file/these.pdf BibTex

Preprints, Working Papers, …

titre
Analysis of an Ultrasound-Based Physical Tracking System
auteur
Mathieu Cunche, Leonardo S Cardoso
article
2018
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01798091/file/ultrasound_reverse_engineering.pdf BibTex

2017

Journal articles

titre
Radiologically isolated syndrome in children
auteur
Naila Makhani, Clarisse Carra Dallière, Jérôme De Seze, Françoise Durand Dubief, Juan Ignacio Rojas, Eugene D. Shapiro, Robert T. Stone, Mar Tintoré, Darin T. Okuda, Christine Lebrun, Aksel Siva, David Brassat, Clarisse Carra Dallière, Jerome de Sèze, Wei Du, Françoise Durand Dubief, Orhun Kantarci, Megan Langille, Sona Narula, Jean Pelletier, Juan Ignacio Rojas, Eugene D Shapiro, Robert T Stone, Ugur Uygunoglu, Patrick Vermersch, Evangeline Wassmer, Darin Okuda, Daniel Pelletier
article
Neurology Neuroimmunology & Neuroinflammation, 2017, 4 (6), pp.1-10. ⟨10.1212/NXI.0000000000000395⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01743904/file/e395.full.pdf BibTex
titre
When Does Output Feedback Enlarge the Capacity of the Interference Channel?
auteur
Victor Quintero, Samir Perlaza, Iñaki Iñaki Esnaola, Jean-Marie Gorce
article
IEEE Transactions on Communications, 2017, 66 (2), pp.615-628. ⟨10.1109/TCOMM.2017.2753252⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01432525/file/QPEG-TC-2017.pdf BibTex
titre
Feedback Enhances Simultaneous Wireless Information and Energy Transmission in Multiple Access Channels
auteur
Selma Belhadj Amor, Samir Perlaza, Ioannis Krikidis, H. Vincent Poor
article
IEEE Transactions on Information Theory, 2017, 63 (8), pp.5244 – 5265. ⟨10.1109/TIT.2017.2682166⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01857373/file/Belhadj-Amor_TIT2015_Final.pdf BibTex
titre
Capacity Bounds for Additive Symmetric $\alpha $ -Stable Noise Channels
auteur
Mauro de Freitas, Malcolm Egan, Laurent Clavier, Alban Goupil, Gareth W. Peters, Nourddine Azzaoui
article
IEEE Transactions on Information Theory, 2017, 63 (8), pp.5115-5123. ⟨10.1109/TIT.2017.2676104⟩
Accès au bibtex
BibTex
titre
Modeling and Design of Millimeter-Wave Networks for Highway Vehicular Communication
auteur
Andrea Tassi, Malcolm Egan, Robert Piechocki, Andrew Nix
article
IEEE Transactions on Vehicular Technology, 2017, 66 (12), pp.10676 – 10691. ⟨10.1109/TVT.2017.2734684⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01671182/file/TVT-Paper.pdf BibTex
titre
Up-Link Capacity Derivation for Ultra-Narrow-Band IoT Wireless Networks
auteur
Yuqi Mo, Minh-Tien Do, Claire Goursaud, Jean-Marie Gorce
article
International Journal of Wireless Information Networks, 2017, 24 (3), pp.300-316. ⟨10.1007/s10776-017-0361-4⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01610466/file/2017-06-Springer%20IJWIN-Up-Link%20Capacity%20Derivation%20for%20Ultra-Narrow-Band%20IoT_authors%20version.pdf BibTex
titre
Hardware division by small integer constants
auteur
Fatih Ugurdag, Florent de Dinechin, Yilmaz Serhan Gener, Sezer Gören, Laurent-Stéphane Didier
article
IEEE Transactions on Computers, 2017, ⟨10.1109/TC.2017.2707488⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01402252/file/07933010%20%281%29.pdf BibTex
titre
Coding for Caching in 5G Networks
auteur
Yasser Fadlallah, Antonia Maria Tulino, Dario Barone, Giuseppe Vettigli, Jaime Llorca, Jean-Marie Gorce
article
IEEE Communications Magazine, 2017, 55 (2), pp.106 – 113. ⟨10.1109/MCOM.2017.1600449CM⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01492353/file/coding-caching.pdf https://inria.hal.science/hal-01492353/file/bandwidth.pdf https://inria.hal.science/hal-01492353/file/bw_gain-eps-converted-to.pdf https://inria.hal.science/hal-01492353/file/figure1.pdf https://inria.hal.science/hal-01492353/file/figure2.pdf https://inria.hal.science/hal-01492353/file/figure3.pdf https://inria.hal.science/hal-01492353/file/figure4.pdf https://inria.hal.science/hal-01492353/file/perf_v1-eps-converted-to.pdf https://inria.hal.science/hal-01492353/file/Rate.pdf BibTex
titre
Distributed Interference and Energy-Aware Power Control for Ultra-Dense D2D Networks: A Mean Field Game
auteur
Chungang Yang, Jiandong Li, Prabodini Semasinghe, Ekram Hossain, Samir Perlaza, Zhu Han
article
IEEE Transactions on Wireless Communications, 2017, 16 (2), pp.1205 – 1217. ⟨10.1109/TWC.2016.2641959⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01432507/file/Yang-TWC-2017.pdf BibTex
titre
Event Detection in Molecular Communication Networks with Anomalous Diffusion
auteur
Trang C Mai, Malcolm Egan, Trung Q Duong, Marco Di Renzo
article
IEEE Communications Letters, 2017, 21 (6), pp.1249 – 1252. ⟨10.1109/LCOMM.2017.2669315⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01671181/file/Revised%20Manuscript_v3.pdf BibTex
titre
Generalized Satisfaction Equilibrium for Service-Level Provisioning in Wireless Networks
auteur
Mathew M Goonewardena, Samir Perlaza, Animesh M Yadav, Wessam Ajib
article
IEEE Transactions on Communications, 2017, 65 (6), pp.2427 – 2437. ⟨10.1109/TCOMM.2017.2662701⟩
Accès au bibtex
BibTex

Conference papers

titre
Performance and Energy in Green Superposition Coding Wireless Networks: An Analytical Model
auteur
Jean-Marc Kelif, Jean-Marie Gorce, Azeddine Gati
article
GLOBECOM 2017 – IEEE Global Hub: Connecting East and West, Dec 2017, Singapour, Singapore. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01658932/file/SuperCodGlobecom2017v14final.pdf BibTex
titre
Wireless Communication in Dynamic Interference
auteur
Malcolm Egan, Laurent Clavier, Mauro de Freitas, Louis Dorville, Jean-Marie Gorce, Anne Savard
article
IEEE Global Communications Conference (GLOBECOM), Dec 2017, Singapore, Singapore
Accès au texte intégral et bibtex
https://hal.science/hal-01671180/file/Egan_GLOBECOM_2017.pdf BibTex
titre
On the Ergodic Capacity in Multicarrier Cognitive Radio Systems under Power Constraints
auteur
Hassan Kallam, Hiba Bawab, Oussama Bazzi, Youssef Nasser, Jean-François Hélard
article
ICUMT 2017 – 9th International Congress on Ultra Modern Telecommunications and Control Systems, Nov 2017, Munich, Germany. pp.329-333, ⟨10.1109/ICUMT.2017.8255176⟩
Accès au bibtex
BibTex
titre
The Dispersion of Superposition Coding for Gaussian Broadcast Channels
auteur
Ayşe Ünsal, Jean-Marie Gorce
article
IEEE Information Theory Workshop 2017, Nov 2017, Kaohsiung, Taiwan
Accès au texte intégral et bibtex
https://hal.science/hal-01643260/file/SPC_main.pdf BibTex
titre
Information-Theoretic Attacks in the Smart Grid
auteur
Ke Sun, Iñaki Esnaola, Samir Perlaza, H Vincent Poor
article
IEEE International Conference on Smart Grid Communications, Oct 2017, Dresden, Germany
Accès au texte intégral et bibtex
https://hal.science/hal-01574532/file/SEPP_SMC_17_final.pdf BibTex
titre
CalMAR -a Multi-Application Dataflow Runtime
auteur
Lionel Morel, Manuel Selva, Kevin Marquet, Coralie Saysset, Tanguy Risset
article
Thirteenth ACM International Conference on Embedded Software 2017, EMSOFT’17, Oct 2017, Seoul, South Korea. ⟨10.1145/3125503.3125562⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01631691/file/wip-emsoft.pdf BibTex
titre
Evaluating LoRa Energy Efficiency for Adaptive Networks: From Star to Mesh Topologies
auteur
Moises Nunez Ochoa, Arturo Guizar, Mickael Maman, Andrzej Duda
article
WiMob 2017 – 13th IEEE International Conference on Wireless and Mobile Computing, Networking and Communications, Oct 2017, Rome, Italy
Accès au bibtex
BibTex
titre
Simultaneous Information and Energy Transmission in Gaussian Interference Channels with Feedback
auteur
Nizar Khalfet, Samir Perlaza
article
2017 – 55th Annual Allerton Conference on Communication, Control, and Computing, Oct 2017, Urbana-Champaign-Illinois, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01561756/file/ALLER17_0115_FI.pdf BibTex
titre
Toward reliable, reactive and energy efficient bursty multi-user communications
auteur
Jean-Marie Gorce
article
Dependable Wireless Communications and Localization for the IoT, Sep 2017, Graz, Austria
Accès au bibtex
BibTex
titre
Towards fundamental limits of bursty multi-user communications in wireless networks
auteur
Jean-Marie Gorce, Philippe Mary, Jean-Marc Kélif
article
WITSME 2017 – The Tenth Workshop on Information Theoretic Methods in Science and Engineering, Sep 2017, Paris, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01658933/file/WITMSE-Gorce.pdf BibTex
titre
$κβ$ Bounds for Gaussian Broadcast Channels with Finite Blocklength
auteur
Ayşe Ünsal, Jean-Marie Gorce
article
GRETSI 2017, Sep 2017, Juan-les-Pins / Antibes, France
Accès au texte intégral et bibtex
https://hal.science/hal-01643256/file/paper_main_GRETSI.pdf BibTex
titre
Performance théorique de réseaux IoT basés sur UNB avec Path Loss
auteur
Yuqi Mo, Claire Goursaud, Jean-Marie Gorce
article
GRETSI2017, Sep 2017, Juan-les-Pins, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01610525/file/2017-09-GRETSI-Performance%20th%C3%A9orique%20de%20r%C3%A9seaux%20IoT%20bas%C3%A9s%20sur%20UNB%20avec%20Path%20Loss.pdf BibTex
titre
Région d’ η-Équilibre de Nash du Canal Linéaire Déterministe à Interférences avec Rétroalimentation Dégradée
auteur
Victor Quintero, Samir M. Perlaza, Jean-Marie Gorce
article
Colloque Gretsi, Sep 2017, Juan-les-Pins, France
Accès au texte intégral et bibtex
https://hal.science/hal-01588107/file/QPG-Gretsi-2017.pdf BibTex
titre
Bridging High-Level Synthesis and Application-Specific Arithmetic: The Case Study of Floating-Point Summations
auteur
Yohann Uguen, Florent de Dinechin, Steven Derrien
article
27th International Conference on Field-Programmable Logic and Applications (FPL), IEEE, Sep 2017, Gent, Belgium. pp.8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01373954/file/2017-FPL.pdf BibTex
titre
Reliable and Reproducible Radio Experiments in FIT/CorteXlab SDR testbed: Initial Findings
auteur
Leonardo Sampaio Cardoso, Othmane Oubejja, Guillaume Villemaud, Tanguy Risset, Jean Marie Gorce
article
Crowncom, Sep 2017, Lisbon, Portugal
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01598491/file/reproducible-crowncom17.pdf BibTex
titre
Toward haptic communications for IoT in 5G
auteur
Jean-Marie Gorce
article
IEEE 5G Thessaloniki Summit, Jul 2017, Thessalonic, Greece
Accès au bibtex
BibTex
titre
Fast Initialization of Cognitive Radio Systems
auteur
Malcolm Egan, Jean-Marie Gorce, Leonardo Cardoso
article
IEEE International Workshop on Signal Processing Advances in Wireless Communications , Jul 2017, Sapporo, Japan
Accès au texte intégral et bibtex
https://hal.science/hal-01523023/file/SPAWC_EganGorceCardoso.pdf BibTex
titre
Sytare: Persistence de l’état des périphériques pour les systèmes à alimentation intermittente
auteur
Gautier Berthou, Tristan Delizy, Kevin Marquet, Guillaume Salagnac, Tanguy Risset
article
Compas’2017 – Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2017, Sophia-Antipolis, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01609303/file/compas-2017.pdf BibTex
titre
Exploration architecturale de l’accumulateur de Kulisch
auteur
Yohann Uguen, Florent de Dinechin
article
Compas’2017 – Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2017, Sophia Antipolis, France. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02131977/file/kulisch-compas-2017.pdf BibTex
titre
Capacity Sensitivity in Additive Non-Gaussian Noise Channels
auteur
Malcolm Egan, Samir M. Perlaza, Vyacheslav Kungurtsev
article
IEEE International Symposium on Information Theory (ISIT), Jun 2017, Aachen, Germany
Accès au texte intégral et bibtex
https://hal.science/hal-01522950/file/ISIT2017_EganPerlazaKungurtsev.pdf BibTex
titre
Nash Region of the Linear Deterministic Interference Channel with Noisy Output Feedback
auteur
Victor Quintero, Samir Perlaza, Jean-Marie M Gorce, H Vincent Poor
article
IEEE International Symposium on Information Theory (ISIT) , Jun 2017, Aachen, Germany
Accès au texte intégral et bibtex
https://hal.science/hal-01520799/file/Quintero-ISIT-2017.pdf BibTex
titre
Hardware support for UNUM floating point arithmetic
auteur
Andrea Bocco, Yves Durand, Florent de Dinechin
article
13th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), Jun 2017, Taormina, Italy. pp.93 – 96, ⟨10.1109/PRIME.2017.7974115⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01618698/file/07974115.pdf BibTex
titre
Peripheral state persistence for transiently-powered systems
auteur
Gautier Berthou, Tristan Delizy, Kevin Marquet, Tanguy Risset, Guillaume Salagnac
article
IoENT 2017 – 1st Workshop on Internet of Energy Neutral Things, Jun 2017, Geneva, Switzerland. ⟨10.1109/GIOTS.2017.8016243⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01609277/file/ioent-2017.pdf BibTex
titre
Minimal Noise Variance Decoder for Uncoordinated Multiple Access in VLC
auteur
Abdullah A Saed, Siu-Wai Ho, Jean-Marie Gorce, Chung Shue Chen
article
IEEE Vehicular Technology Conference (VTC-Spring), Jun 2017, Sydney, Australia
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01480640/file/VTC_20170228_2.pdf BibTex
titre
On the benefits of successive interference cancellation for ultra narrow band networks : Theory and application to IoT
auteur
Yuqi Mo, Claire Goursaud, Jean-Marie Gorce
article
IEEE ICC 2017 – IEEE International Conference on Communications, May 2017, Paris, France. pp.1 – 6, ⟨10.1109/ICC.2017.7996900⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01610465/file/2017-05-ICC-On%20the%20Benefits%20of%20Successive%20Interference%20Cancellation%20for%20Ultra%20Narrow%20Band%20Networks%20Theory%20and%20Application%20to%20IoT.pdf BibTex
titre
On the Efficiency of Nash Equilibria in the Interference Channel with Noisy Feedback
auteur
Victor Quintero, Samir Perlaza, Jean-Marie M Gorce
article
European Wireless 2017. Workshop: COCOA – COmpetitive and COoperative Approaches for 5G networks., May 2017, Dresden, Germany
Accès au texte intégral et bibtex
https://hal.science/hal-01492979/file/Quintero-EW-2017.pdf BibTex
titre
Evaluation des performances des communications tag-to-tag : modélisation et outil de simulation
auteur
Lin Zhou, Florin Doru Hutu, Guillaume Villemaud, Yvan Duroc
article
JNM 2017 – 20èmes Journées Nationales Microondes, May 2017, Saint-Malo, France
Accès au bibtex
BibTex
titre
Fundamental Limits of a Dense IoT Cell in the Uplink
auteur
Jean-Marie Gorce, Yasser Fadlallah, Jean-Marc Kélif, Harold Vincent Poor, Azeddine Gati
article
WiOpt 2017 – 15th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks, May 2017, Paris, France. pp.1-6, ⟨10.23919/WIOPT.2017.7959936⟩
Accès au bibtex
BibTex
titre
A high-level synthesis approach optimizing accumulations in floating-point programs using custom formats and operators
auteur
Yohann Uguen, Florent de Dinechin, Steven Derrien
article
2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr 2017, Napa, United States. pp.80-80, ⟨10.1109/FCCM.2017.41⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01498357/file/2017-HLS-Accumulations.pdf BibTex
titre
Automating the pipeline of arithmetic datapaths
auteur
Matei Istoan, Florent de Dinechin
article
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01373937/file/flopoco_new_pipeline.pdf BibTex
titre
Simulation framework for performance evaluation of passive RFID tag-to-tag communication
auteur
Lin Zhou, Florin Doru Hutu, Guillaume Villemaud, Yvan Duroc
article
EUCAP, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928387⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01526333/file/1570316134.pdf BibTex
titre
Analysis of an energy harvesting circuit in the presence of complex waveforms
auteur
Regis Rousseau, Florin Doru Hutu, Guillaume Villemaud
article
Journées Scientifiques de l’URSI: « Radiosciences au service de l’humanité », Feb 2017, Sophia-Antipolis, France
Accès au texte intégral et bibtex
https://hal.science/hal-01530695/file/article_en.pdf BibTex

Habilitation à diriger des recherches

titre
Contribution to the uplink PHY/MAC analysis for the IOT and BAN applications
auteur
Claire Goursaud
article
Networking and Internet Architecture [cs.NI]. INSA de Lyon (France), 2017
Accès au texte intégral et bibtex
https://hal.science/tel-01662386/file/VersionHAL.pdf BibTex

Reports

titre
Simultaneous Information and Energy Transmission in the Interference Channel
auteur
Nizar Khalfet, Samir Perlaza
article
[Research Report] RR-9102, Inria – Research Centre Grenoble – Rhône-Alpes. 2017, pp.1-51
Accès au texte intégral et bibtex
https://hal.science/hal-01629051/file/Khalfet-Perlaza-INRIA-V11.pdf BibTex
titre
Combining dataflow programming and polyhedral optimization, a case study
auteur
Romain Fontaine, Lionel Morel, Laure Gonnord
article
[Technical Report] RT-0490, Inria Rhône-Alpes; CITI – CITI Centre of Innovation in Telecommunications and Integration of services; LIP – ENS Lyon. 2017, pp.40
Accès au texte intégral et bibtex
https://hal.science/hal-01572439/file/RR-0490-dataflow-poly.pdf BibTex
titre
Decentralized Interference Channels with Noisy Output Feedback
auteur
Victor Quintero, Samir Perlaza, Jean-Marie M Gorce, H Vincent Poor
article
[Research Report] RR-9011, Inria – Research Centre Grenoble – Rhône-Alpes. 2017, pp.37
Accès au texte intégral et bibtex
https://hal.science/hal-01462248/file/Quintero%20INRIA-RR-2017-9011.pdf BibTex
titre
Capacity Sensitivity in Continuous Channels
auteur
Malcolm Egan, Samir M. Perlaza, Vyacheslav Kungurtsev
article
[Research Report] RR-9012, INRIA Grenoble – Rhône-Alpes; Czech Technical University in Prague. 2017
Accès au texte intégral et bibtex
https://hal.science/hal-01455640/file/INRIA-RR-9012.pdf BibTex
titre
Peripheral State Persistence For Transiently Powered Systems
auteur
Gautier Berthou, Tristan Delizy, Kevin Marquet, Tanguy Risset, Guillaume Salagnac
article
[Research Report] RR-9018, INRIA. 2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01460699/file/RR-9018.pdf BibTex

Theses

titre
High-performance coarse operators for FPGA-based computing
auteur
Matei Valentin Istoan
article
Computer Arithmetic. Université de Lyon, 2017. English. ⟨NNT : 2017LYSEI030⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01870022/file/these.pdf BibTex

Preprints, Working Papers, …

titre
Prepaid or Postpaid? That is the question. Novel Methods of Subscription Type Prediction in Mobile Phone Services
auteur
Yongjun Liao, Wei Du, Márton Karsai, Carlos Sarraute, Martin Minnoni, Eric Fleury
article
2017
Accès au bibtex
https://arxiv.org/pdf/1706.10172 BibTex
titre
Simultaneous Information and Energy Transmission in Gaussian Interference Channels
auteur
Nizar Khalfet, Samir M. Perlaza
article
2017
Accès au texte intégral et bibtex
https://hal.science/hal-01561744/file/Khalfet-Perlaza-Zur%20%281%29.pdf BibTex
titre
Robust Recovery of Missing Data in Electricity Distribution Systems
auteur
Cristian Genes, Iñaki Esnaola, Samir Perlaza, Luis F Ochoa, Daniel Coca
article
2017
Accès au texte intégral et bibtex
https://hal.science/hal-01574531/file/GEPOC_TSG_17_submission.pdf BibTex
titre
Automatic generation of hardware FIR filters from a frequency domain specification
auteur
Silviu-Ioan Filip, Matei Istoan, Florent de Dinechin, Nicolas Brisebarre
article
2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01308377/file/autofir.pdf BibTex
titre
High-Level Synthesis Using Application-Specific Arithmetic: A Case Study
auteur
Yohann Uguen, Florent de Dinechin, Steven Derrien
article
2017
Accès au texte intégral et bibtex
https://hal.science/hal-01502644/file/HLS-Using-App-Specific-Arith_A-Case-Study.pdf BibTex
titre
Design-space exploration for the Kulisch accumulator
auteur
Yohann Uguen, Florent de Dinechin
article
2017
Accès au texte intégral et bibtex
https://hal.science/hal-01488916/file/kulisch-acc-2017.pdf BibTex

2016

Journal articles

titre
Récepteur de type “wake-up” radio à identification par empreinte fréquentielle
auteur
Regis Rousseau, Florin Doru Hutu, Guillaume Villemaud
article
La Revue de l’électricité et de l’électronique, 2016, Enjeux d’un développement massif des énergies renouvelables dans le système électrique européen du futur, 5, pp.105-111. ⟨10.23723/1301:2016-5/17792⟩
Accès au bibtex
BibTex
titre
Improving Energy Efficiency of OFDM Using Adaptive Precision Reconfigurable FFT
auteur
Hatam Abdoli, Hooman Nikmehr, Naser Movahedinia, Florent de Dinechin
article
Circuits, Systems, and Signal Processing, 2016, ⟨10.1007/s00034-016-0435-z⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01402231/file/paper.pdf BibTex
titre
Advanced coordinated beamforming for the downlink of future LTE cellular networks
auteur
George C. Alexandropoulos, Paul Ferrand, Jean-Marie Gorce, Constantinos B. Papadias
article
IEEE Communications Magazine, 2016, 54 (7), pp.54 – 60. ⟨10.1109/MCOM.2016.7509379⟩
Accès au bibtex
https://arxiv.org/pdf/1604.04592 BibTex
titre
Digital I/Q Imbalance Correction for Full-Duplex Dual-Band OFDM Radio Transceivers I Introduction
auteur
Zhaowu Zhan, Guillaume Villemaud, Florin Hutu, Jean-Marie Gorce
article
International Journal of Microwave and Wireless Technologies, 2016, 8 (3), pp.505-519. ⟨10.1017/S1759078715000811⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01244725/file/Zhaowu_Cambridge_Journal.pdf BibTex
titre
A parallel unbalanced digitization architecture to reduce the dynamic range of multiple signals
auteur
Mathieu Vallerian, Florin Doru Hutu, Guillaume Villemaud, Benoit Miscopein, Tanguy Risset
article
Radio Science, 2016, ⟨10.1002/2015RS005885⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01312986/file/Article_review_depos%C3%A9_hal.pdf BibTex
titre
Performance of IR-UWB cross-layer ranging protocols under on-body channel models with body area networks
auteur
Arturo Guizar, Claire Goursaud, Jean-Marie Gorce
article
Annals of Telecommunications – annales des télécommunications, 2016, ⟨10.1007/s12243-016-0500-4⟩
Accès au bibtex
BibTex
titre
Spectral and Energy Efficiency Trade-Offs in Cellular Networks
auteur
Dimitrios Tsilimantos, Jean-Marie Gorce, Katia Jaffrès-Runser, H. Vincent Poor
article
IEEE Transactions on Wireless Communications, 2016, 15 (1), pp.54–66. ⟨10.1109/TWC.2015.2466541⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01231819/file/1311.7302.pdf BibTex
titre
A New Compilation Flow for Software-Defined Radio Applications on Heterogeneous MPSoCs
auteur
Mickaël Dardaillon, Kevin Marquet, Tanguy Risset, Jérôme Martin, Henri-Pierre Charles
article
ACM Transactions on Architecture and Code Optimization, 2016, 13 (2), ⟨10.1145/2910583⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01396143/file/taco.pdf BibTex
titre
Maximum Distortion Attacks in Electricity Grids
auteur
Iñaki Esnaola, Samir M. Perlaza, H. Vincent Poor, Oliver Kosut
article
IEEE Transactions on Smart Grid, 2016, 7 (4), pp.2007-2015. ⟨10.1109/TSG.2016.2550420⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01343248/file/EPPK_tsgsi_15_camera.pdf BibTex

Conference papers

titre
On The Duality Between State-Dependent Channels and Wiretap Channels
auteur
David Kibloff, Samir M. Perlaza, Guillaume Villemaud, Leonardo S. Cardoso
article
IEEE Global Conference on Signal and Information Processing (GlobalSIP), Dec 2016, Greater Washington, D.C., United States
Accès au texte intégral et bibtex
https://hal.science/hal-01374900/file/Kibloff-GlobalSIP-2016.pdf BibTex
titre
Spatial Continuum Model: Toward the Fundamental Limits of Dense Wireless Networks
auteur
Jean-Marie Gorce, H. Vincent Poor, Jean-Marc Kelif
article
IEEE Globecom, Dec 2016, Washington, United States. pp.6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01395609/file/Gorce-Continuum-Globecom.pdf https://inria.hal.science/hal-01395609/file/EE-SE-d.pdf https://inria.hal.science/hal-01395609/file/energy_repartition_c.pdf https://inria.hal.science/hal-01395609/file/figures001.pdf https://inria.hal.science/hal-01395609/file/figures002.pdf https://inria.hal.science/hal-01395609/file/PID1185648-8.pdf https://inria.hal.science/hal-01395609/file/UniformCapacity_v2c.pdf BibTex
titre
Finite Blocklength Information Theory: What is the Practical Impact on Wireless Communications?
auteur
Philippe Mary, Jean-Marie Gorce, Ayşe Ünsal, H. Vincent Poor
article
2016 IEEE Global Communications Conference: Workshops: First IEEE International Workshop on Low-Layer Implementation and Protocol Design for IoT Applications, Dec 2016, Washington, DC, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01390904/file/FadingBlocsGlobecom.pdf BibTex
titre
Approximate capacity of the Gaussian interference channel with noisy channel-output feedback
auteur
Victor Quintero, Samir Perlaza, Iñaki Esnaola, Jean-Marie Gorce
article
IEEE Information Theory Workshop (ITW 2016), Sep 2016, Cambridge, United Kingdom. pp.474 – 478, ⟨10.1109/ITW.2016.7606879⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01293921/file/Quintero-ITW-2016.pdf BibTex
titre
Theoretical Analysis of UNB-based IoT Networks with Path Loss and Random Spectrum Access
auteur
Yuqi Mo, Claire Goursaud, Jean-Marie Gorce
article
27th IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), Sep 2016, Valencia, Spain
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01389365/file/09-2016-PIMRC-Theoretical%20Analysis%20of%20UNB-based%20IoT%20Networks%20with%20Path%20Loss%20and%20Random%20Spectrum%20Access.pdf BibTex
titre
Compressive Data Aggregation on Mobile Wireless Sensor Networks for Sensing in Bike Races
auteur
Wei Du, Jean-Marie Gorce, Tanguy Risset, Matthieu Lauzier, Antoine Fraboulet
article
European Signal Processing Conference (EUSIPCO 2016), European Association for Signal Processing (EURASIP), Aug 2016, Budapest, Hungary
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01395629/file/eusipco2016.pdf BibTex
titre
numap: A Portable Library For Low-Level Memory Profiling
auteur
Manuel Selva, Lionel Morel, Kevin Marquet
article
Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), Jul 2016, Samos, Greece. ⟨10.1109/SAMOS.2016.7818331⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01408979/file/numap.pdf BibTex
titre
Computing floating-point logarithms with fixed-point operations
auteur
Julien Le Maire, Nicolas Brunie, Florent de Dinechin, Jean-Michel Muller
article
23rd IEEE Symposium on Computer Arithmetic, IEEE, Jul 2016, Santa Clara, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01227877/file/2015-FixFloat.pdf BibTex
titre
Lower bounds on joint modulation-estimation performance for the Gaussian MAC
auteur
Ayşe Ünsal, Raymond Knopp, Neri Merhav
article
IEEE International Symposium on Information Theory (ISIT) 2016, Jul 2016, Barcelona, Spain. ⟨10.1109/ISIT.2016.7541367⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01390980/file/paper_main_conf.pdf BibTex
titre
Feedback Enhances Simultaneous Energy and Information Transmission in Multiple Access Channels
auteur
Selma Belhadj Amor, Samir M. Perlaza, Ioannis Krikidis, H. Vincent Poor
article
IEEE International Symposium on Information Theory, Jul 2016, Barcelone, Spain
Accès au texte intégral et bibtex
https://hal.science/hal-01262801/file/Final_Version_submitted_ISIT.pdf BibTex
titre
Pipeline automatique d’opérateurs dans FloPoCo 5.0
auteur
Matei Istoan, Florent de Dinechin
article
COMPAS’2016: Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01348007/file/2016-flopoco-pipeline.pdf BibTex
titre
Recovering Missing Data via Matrix Completion in Electricity Distribution Systems
auteur
Cristian Genes, Iñaki Esnaola, Samir M. Perlaza, Luis F. Ochoa, Daniel Coca
article
17th IEEE International workshop on Signal Processing advances in Wireless Communications, Jul 2016, Edinburgh, United Kingdom
Accès au texte intégral et bibtex
https://hal.science/hal-01322929/file/GEPOC%20-%20SPAWC%202016.pdf BibTex
titre
Interference alignment for downlink cellular networks: Joint scheduling and precoding
auteur
Yasser S Fadlallah, Paul Ferrand, Leonardo S Cardoso, Jean-Marie S Gorce
article
IEEE 17th International Workshop onSignal Processing Advances in Wireless Communications (SPAWC), IEEE, Jul 2016, Edinburgh, United Kingdom. pp.1 – 5, ⟨10.1109/SPAWC.2016.7536752⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01401832/file/main.pdf BibTex
titre
Decentralized MMSE Attacks in Electricity Grids
auteur
Iñaki Esnaola, Samir M. Perlaza, H Vincent Poor, Oliver Kosut
article
IEEE Workshop on Statistical Signal Processing (SSP), Jun 2016, Palma de Mallorca, Spain
Accès au texte intégral et bibtex
https://hal.science/hal-01312735/file/EPPK_spp16_final.pdf BibTex
titre
Why MAC Address Randomization is not Enough: An Analysis of Wi-Fi Network Discovery Mechanisms
auteur
Mathy Vanhoef, Célestin Matte, Mathieu Cunche, Leonardo Cardoso, Frank Piessens
article
ACM AsiaCCS, May 2016, Xi’an, China. ⟨10.1145/2897845.2897883⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01282900/file/asiaccs2016.pdf BibTex
titre
When Does Channel-Output Feedback Enlarge the Capacity Region of the Two-User Linear Deterministic Interference Channel?
auteur
Victor Quintero, Samir M. Perlaza, Iñaki Esnaola, Jean-Marie Gorce
article
CROWNCOM – 2016 11th EAI International Conference on Cognitive Radio Oriented Wireless Networks., May 2016, Grenoble, France
Accès au texte intégral et bibtex
https://hal.science/hal-01240328/file/Quintero-Crowncom-2016.pdf BibTex
titre
Impact du nombre de répliques sur les performances d’un réseau IoT basé sur des transmissions Ultra Narrow Band
auteur
Yuqi Mo, Minh-Tien Do, Claire Goursaud, Jean-Marie Gorce
article
ALGOTEL 2016 – 18èmes Rencontres Francophones sur les Aspects Algorithmiques des Télécommunications, May 2016, Bayonne, France
Accès au texte intégral et bibtex
https://hal.science/hal-01304754/file/Algotel_final_version%200.2.pdf BibTex
titre
Stratégies de protocoles inter-couche pour la localisation coopérative améliorée avec WBAN avec prise en compte d’un canal réaliste
auteur
Arturo Guizar, Claire Goursaud, Jean-Marie Gorce
article
ALGOTEL 2016, May 2016, Bayonne, France
Accès au texte intégral et bibtex
https://hal.science/hal-01303399/file/algotel2016-strategies-vf.pdf BibTex
titre
Generalized Satisfaction Equilibrium: A Model for Service-Level Provisioning in Networks
auteur
Mathew Goonewardena, Samir M. Perlaza, Animesh Yadav, Wessam Ajib
article
European Wireless Conference (EW 2016), May 2016, Oulu, Finland
Accès au texte intégral et bibtex
https://hal.science/hal-01295419/file/1570264210.pdf BibTex
titre
Fundamental Limits of Simultaneous Energy and Information Transmission
auteur
Selma Belhadj Amor, Samir M. Perlaza
article
23rd International Conference on Telecommunications, May 2016, Thessaloniki, Greece
Accès au texte intégral et bibtex
https://hal.science/hal-01277160/file/ICT_Final_Version%20%281%29.pdf BibTex
titre
Random Unslotted Time-Frequency ALOHA: Theory and Application to IoT UNB Networks
auteur
Claire Goursaud, Yuqi Mo
article
23rd International Conference on Telecommunications (ICT) , May 2016, Thessaloniki, Greece. pp.1 – 5, ⟨10.1109/ICT.2016.7500489⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01389362/file/05-2016-ICT-Random%20Unslotted%20Time-Frequency%20ALOHA%20Theory%20and%20Application%20to%20IoT%20UNB%20Networks.pdf BibTex
titre
Optimization of the predefined number of replications in a Ultra Narrow Band based IoT network
auteur
Yuqi Mo, Minh-Tien Do, Claire Goursaud, Jean-Marie Gorce
article
Wireless Day, Mar 2016, Toulouse, France. pp.1 – 6, ⟨10.1109/WD.2016.7461514⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01389335/file/03-2016-Wireless%20Day-Optimization%20of%20the%20predefined%20number%20of%20replications%20in%20a%20Ultra%20Narrow%20Band%20based%20IoT%20network%20%28Final%20version%29.pdf BibTex
titre
Decentralized Simultaneous Energy and Information Transmission in Multiple Access Channels
auteur
Selma Belhadj Amor, Samir M. Perlaza
article
50th Annual Conference on Information Sciences and Systems (CISS), Princeton University – Department of Electrical Engineering and Technical Co-sponsorship with IEEE Information Theory Society, Mar 2016, Princeton, NJ, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01262793/file/Selma-CISS-DraftV10.pdf BibTex
titre
Récepteur de type « wake-up » radio à identification par empreinte fréquentielle
auteur
Florin Doru Hutu, Guillaume Villemaud, Jean-Marie Gorce
article
Journées Scientifiques de l’URSI : Energie et Radiosciences, Mar 2016, Rennes, France
Accès au bibtex
BibTex
titre
Plateforme de mesure de la consommation énergétique dédiée aux objets communicants
auteur
Florin Hutu, Guillaume Salagnac, Kevin Marquet, Tanguy Risset
article
Journées Scientifiques de l’URSI : Energie et Radiosciences, Mar 2016, Rennes, France
Accès au bibtex
BibTex
titre
Experimental validation of a wake-up radio architecture
auteur
Florin Doru Hutu, David Kibloff, Guillaume Villemaud, Jean-Marie Gorce
article
2016 Radio & Wireless Week, Jan 2016, Austin, United States
Accès au bibtex
BibTex
titre
Achievable rates for additive isotropic α-stable noise channels
auteur
Malcolm Egan, Mauro Lopes de Freitas, Laurent Clavier, Alban Goupil, Gareth W. Peters, Nourddine Azzaoui
article
IEEE International Symposium on Information Theory (ISIT), 2016, Barcelona, Spain. pp.1874-1878, ⟨10.1109/ISIT.2016.7541624⟩
Accès au bibtex
BibTex
titre
Mixed Hardware and Software Embedded Signal Processing Methods for in-situ Analysis of Cardiac Activity
auteur
Bertrand Massot, Tanguy Risset, Gregory Michelet, Eric Mcadams
article
9th International Joint Conference on Biomedical Engineering Systems and Technologies – : Smart-BIODEV,, 2016, Rome, Italy. ⟨10.5220/0005843703030310⟩
Accès au bibtex
BibTex

Poster communications

titre
High-level synthesis and arithmetic optimizations
auteur
Yohann Uguen, Florent de Dinechin, Steven Derrien
article
Compas’2016, Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02131970/file/2016-COMPAS-Poster.pdf BibTex
titre
Community Structure in Networks
auteur
Dudavid Wei, Yongjun Liao, Márton Karsai, Eric Fleury, Jean-Marie Gorce
article
Complenet’17, Mar 2016, Dijon, France
Accès au bibtex
BibTex

Reports

titre
Decentralized K-User Gaussian Multiple Access Channels
auteur
Selma Belhadj Amor, Samir M. Perlaza
article
[Research Report] RR-8949, INRIA. 2016
Accès au texte intégral et bibtex
https://hal.science/hal-01378343/file/INRIA-RR-2016-8949-BelhadjAmor.pdf BibTex
titre
Decentralized Simultaneous Energy and Information Transmission in Multiple Access Channels
auteur
Selma Belhadj Amor, Samir M. Perlaza, H. Vincent Poor
article
[Research Report] RR-8847, INRIA Grenoble – Rhone-Alpes. 2016
Accès au texte intégral et bibtex
https://hal.science/hal-01270764/file/INRIA-RR-2016-8847-V6-Selma.pdf BibTex
titre
numap: A Portable Library For Low Level Memory Profiling
auteur
Manuel Selva, Lionel Morel, Kevin Marquet
article
[Research Report] RR-8879, INRIA. 2016
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01285522/file/RR-8879.pdf BibTex
titre
Generalized Satisfaction Equilibrium: A Model for Service-Level Provisioning in Networks
auteur
Mathew Goonewardena, Samir M. Perlaza, Animesh Yadav, Wessam Ajib
article
[Research Report] RR-8883, Inria – Research Centre Grenoble – Rhône-Alpes. 2016, pp.21
Accès au texte intégral et bibtex
https://hal.science/hal-01290144/file/INRIA_report_GSE.pdf BibTex
titre
Approximate Capacity of the Two-User Gaussian Interference Channel with Noisy Channel-Output Feedback
auteur
Victor Quintero, Samir M. Perlaza, Iñaki Esnaola, Jean-Marie Gorce
article
[Research Report] RR-8861, Inria – Research Centre Grenoble – Rhône-Alpes. 2016, pp.39
Accès au texte intégral et bibtex
https://hal.science/hal-01315887/file/Quintero-INRIA-RR-8861-2016.pdf BibTex
titre
When Does Channel-Output Feedback Enlarge the Capacity Region of the Interference Channel?
auteur
Victor Quintero, Samir M. Perlaza, Iñaki Esnaola, Jean-Marie Gorce
article
[Research Report] RR-8862, INRIA Grenoble – Rhône-Alpes. 2016, pp.34
Accès au texte intégral et bibtex
https://hal.science/hal-01281717/file/INRIA-8862-2016.pdf BibTex
titre
Spatial Continuum Extensions of Asymmetric Gaussian Channels (Multiple Access and Broadcast)
auteur
Jean-Marie Gorce, H. Vincent Poor, Jean-Marc Kelif
article
[Research Report] RR-8846, Inria Rhône-Alpes; INSA Lyon. 2016, pp.17
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01265184/file/RR-8846.pdf BibTex

Theses

titre
Une infrastructure flexible de collecte et de traitement de données d’un réseau de capteurs urbain mutualisé
auteur
Mathieu Vallérian
article
Sciences de l’information et de la communication. UNIVERSITÉ DE LYON OPÉRÉE AU SEIN DE L’INSA LYON, 2016. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01416750/file/manuscrit_VALLERIAN.pdf BibTex

Preprints, Working Papers, …

titre
Converse Bounds on Modulation-Estimation Performance for the Gaussian Multiple-Access Channel
auteur
Ayşe Ünsal, Raymond Knopp, Neri Merhav
article
2016
Accès au bibtex
https://arxiv.org/pdf/1609.08300 BibTex

2015

Journal articles

titre
Stochastic Geometric Models for Green Networking
auteur
Eitan Altman, Cengis Hasan, Manjesh Kumar Hanawal, Shlomo Shamai Shitz, Jean-Marie Gorce, Rachid El-Azouzi, Laurent Roullet
article
IEEE Access, 2015, 3, pp.2465-2474. ⟨10.1109/ACCESS.2015.2503322⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01245024/file/all1final%20%281%29.pdf BibTex
titre
Dedicated networks for IoT : PHY / MAC state of the art and challenges
auteur
Claire Goursaud, Jean-Marie Gorce
article
EAI endorsed transactions on Internet of Things, 2015, ⟨10.4108/eai.26-10-2015.150597⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01231221/file/eai.26-10-2015.150597.pdf BibTex
titre
Perfect Output Feedback in the Two-User Decentralized Interference Channel
auteur
Samir M. Perlaza, Ravi Tandon, H. Vincent Poor, Zhu Han
article
IEEE Transactions on Information Theory, 2015, 61 (10), pp.5441-5462. ⟨10.1109/TIT.2015.2467387⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01216857/file/Perlaza-TIT-2015.pdf BibTex
titre
Generation of 2D correlated random shadowing based on the deterministic MR-FDPF model
auteur
Meiling Luo, Guillaume Villemaud, Jean-Marie Gorce
article
EURASIP Journal on Wireless Communications and Networking, 2015, 208, ⟨10.1186/s13638-015-0434-y⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01244692/file/JWCN_Meiling.pdf BibTex
titre
Approximations of the packet error rate under quasi-static fading in direct and relayed links
auteur
Paul Ferrand, Jean-Marie Gorce, Claire Goursaud
article
EURASIP Journal on Wireless Communications and Networking, 2015, 12, pp.12. ⟨10.1186/s13638-014-0239-4⟩
Accès au bibtex
BibTex
titre
Survey and benchmark of lightweight block ciphers for MSP430 16-bit microcontroller
auteur
Mickaël Cazorla, Sylvain Gourgeon, Kevin Marquet, Marine Minier
article
Security and communication networks, 2015, pp.16. ⟨10.1002/sec.1281⟩
Accès au bibtex
BibTex

Conference papers

titre
A wireless, low-power, smart sensor of cardiac activity for clinical remote monitoring
auteur
Bertrand Massot, Tanguy Risset, Gregory Michelet, Eric Mcadams
article
2015 17th International Conference on E-health Networking, Application and Services (HealthCom) (IEEE Healthcom 2015, IEEE, Nov 2015, Boston, United States. pp.487-493
Accès au texte intégral et bibtex
https://hal.science/hal-01226432/file/HealthCom2015.pdf BibTex
titre
Enhancement Optimized MAC Protocol for Medical Applications
auteur
Badissi Azzouz Boufedah, Abdesselam Babouri, Benmohammed Mohamed, Claire Goursaud, Florin Doru Hutu
article
2015 First International Conference on New Technologies of Information and Communication, IEEE, Algeria Section, Nov 2015, Mila, Algeria
Accès au bibtex
BibTex
titre
Simultaneous Energy and Information Transmission in Gaussian Multiple Access Channels
auteur
Selma Belhadj Amor, Samir M. Perlaza, Ioannis Krikidis
article
The Fifth International Conference on Communications and Networking (ComNet’2015), Nov 2015, Hammamet, Tunisia
Accès au texte intégral et bibtex
https://hal.science/hal-01221340/file/Final_versionV1_hal.pdf BibTex
titre
Demo: Non-classic Interference Alignment for Downlink Cellular Networks
auteur
Yasser Fadlallah, Leonardo S. Cardoso, Jean-Marie Gorce
article
Joint NEWCOM/COST Workshop on Wireless Communications JNCW 2015, Oct 2015, Barcelone, Spain
Accès au texte intégral et bibtex
https://hal.science/hal-01219455/file/main.pdf BibTex
titre
Integration of Dataflow Components Within a Legacy Video Transcoding Framework
auteur
Tewodros Deneke, Lionel Morel, Sébastien Lafond, Johan Lilius
article
2015 IEEE Workshop on Signal Processing Systems, SiPS 2015, Oct 2015, Hangzhou, China. ⟨10.1109/SiPS.2015.7345013⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01289532/file/dataflow_transcoder.pdf BibTex
titre
Noisy Channel-Output Feedback Capacity of the Linear Deterministic Interference Channel
auteur
Victor Quintero, Samir M. Perlaza, Jean-Marie Gorce
article
IEEE Information Theory Workshop (ITW), Oct 2015, Jeju Island, South Korea. pp.89 – 93 ⟨10.1109/ITWF.2015.7360740⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01207339/file/Quintero-ITW-2015v5.pdf BibTex
titre
Linear-Feedback MAC-BC Duality for Correlated BC-Noises, and Iterative Coding
auteur
Selma Belhadj Amor, Michèle Wigger
article
53rd Annual Allerton Conference on Communication, Control, and Computing, Sep 2015, Allerton Park and Retreat Center, Monticello, IL, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01221336/file/Allerton_final_submission.pdf BibTex
titre
Impact of on-body channel models on positioning success rate with UWB Wireless Body Area Networks
auteur
Arturo Guizar, Claire Goursaud, Bernard Uguen
article
EAI International Conference on Body Area Networks (BodyNets), Sep 2015, Sydney, Australia. ⟨10.4108/eai.28-9-2015.2261545⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01246937/file/HAL_ImpactofOnBodyChannelOnPositioningSuccess.pdf BibTex
titre
Capacité du canal linéaire déterministe à interférences avec voies de retour bruitées
auteur
Victor Quintero, Samir M. Perlaza, Jean-Marie Gorce
article
Colloque Gretsi, Sep 2015, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-01219393/file/QPG%20Gretsi%202015%20V4.pdf BibTex
titre
Modélisation de l’impact de la vitesse des noeuds sur l’estimation des distances dans les Réseaux Corporels sans fils avec ULB
auteur
Arturo Guizar, Claire Goursaud, Jean-Marie Gorce
article
Colloque Gretsi, Sep 2015, Lyon, France. ⟨10.13140/RG.2.1.5031.6886⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01246929/file/Officiel_ModelisationdelImpactdelaVitessNoeudsBAN.pdf BibTex
titre
From the Characterization of Ranging Error to the Enhancement of Nodes Localization for Group of Wireless Body Area Networks
auteur
Anis Ouni, J Hamie, C Chaudet, Arturo Guizar, C Goursaud
article
EAI International Conference on Ad Hoc Networks (AdHocHets), Sep 2015, San Remo, Italy. pp.185-196, ⟨10.1007/978-3-319-25067-0_15⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01246935/file/HAL_AdHocNets15_submission.pdf BibTex
titre
Modeling the impact of node speed on the ranging estimation with UWB body area networks
auteur
Arturo Guizar, Claire Goursaud, Jean-Marie Gorce
article
IEEE International Symposium on Personal, Indoor and Mobile Radio Communication (PIMRC), Aug 2015, Hong Kong, China. ⟨10.1109/PIMRC.2015.7343490⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01246926/file/pimrc2015-modeling-impact_HAL.pdf BibTex
titre
CSMA/CA with RTS/CTS Overhead Reduction for M2M Communication with Finite Retransmission Strategy
auteur
Baher Mawlawi, Jean-Baptiste Doré
article
IEEE International Wireless Communications & Mobile Computing Conference, Aug 2015, Dubrovnik, Croatia
Accès au texte intégral et bibtex
https://hal.science/hal-01159216/file/queue_retry.pdf BibTex
titre
Low-complexity detector for very large and massive MIMO transmission
auteur
Yasser Fadlallah, Abdeldjalil Aissa El Bey, Karine Amis Cavalec, Dominique Pastor
article
SPAWC 2015 : 16th IEEE International Workshop on Signal Processing Advances in Wireless Communications, Jun 2015, Stockholm, Sweden. pp.251 – 255, ⟨10.1109/SPAWC.2015.7227038⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01203097/file/edas.paper-1570110597.pdf BibTex
titre
Code generators for mathematical functions
auteur
Nicolas Brunie, Florent de Dinechin, Olga Kupriianova, Christoph Lauter
article
22d IEEE Symposium on Computer Arithmetic, Jun 2015, Lyon, France
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01084726/file/metalibm%20%282%29.pdf BibTex
titre
Hardware implementations of fixed-point Atan2
auteur
Florent de Dinechin, Matei Istoan
article
22nd IEEE Symposium on Computer Arithmetic, Jun 2015, Lyon, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01091138/file/FixAtan2.pdf BibTex
titre
Quantifying the impact of scheduling and mobility on IR-UWB localization in body area networks
auteur
Arturo Guizar, Anis Ouni, C Goursaud, C Chaudet, Jean-Marie Gorce
article
IEEE International Conference on Wearable and Implantable Body Sensor Networks (BSN), MIT Lincoln Laboratory, Jun 2015, Cambridge, United States. ⟨10.1109/BSN.2015.7299381⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01246920/file/QuantyfingtheImpactofScheduling_HAL.pdf BibTex
titre
Additive companding implementation to reduce ADC constraints for multiple signals digitization
auteur
Mathieu Vallérian, Florin Hutu, Benoît Miscopein, Guillaume Villemaud, Tanguy Risset
article
IEEE International Conference on New Circuits and Systems Conference (NEWCAS), Jun 2015, Grenoble, France. ⟨10.1109/NEWCAS.2015.7182017⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01244711/file/Article_companding-1.pdf BibTex
titre
Optimisation du nombre de retransmissions dans un réseau à bande ultra étroite basé sur R-FTDMA
auteur
Minh Tien Do, Claire Goursaud, Jean-Marie Gorce
article
ALGOTEL 2015 — 17èmes Rencontres Francophones sur les Aspects Algorithmiques des Télécommunications, Jun 2015, Beaune, France
Accès au texte intégral et bibtex
https://hal.science/hal-01148425/file/Optimisation%20du%20nombre%20de%20retransmissions%20dans%20un%20r%C3%A9seau%20%C3%A0%20bande%20ultra%20%C3%A9troite%20bas%C3%A9%20sur%20R-FTDMA_final.pdf BibTex
titre
Proposition d’ordonnancement pour une meilleure localisation de noeuds mobiles dans les Reseaux Corporels sans fils avec ULB
auteur
Arturo Guizar, Anis Ouni, Claire Goursaud, Jean-Marie Gorce
article
Rencontres Francophones sur les Aspects Algorithmiques des Télécommunications (ALGOTEL), Jun 2015, Beaune, France
Accès au texte intégral et bibtex
https://hal.science/hal-01148431/file/sample-algotel.pdf BibTex
titre
A parallel unbalanced digitization architecture to reduce the dynamic range of multiple signals
auteur
Mathieu Vallerian, Guillaume Villemaud, Florin Hutu, Benoit Miscopein, Tanguy Risset
article
1st URSI Atlantic Radio Science Conference (URSI AT-RASC), 2015 , May 2015, Gran Canaria, Spain. ⟨10.1109/URSI-AT-RASC.2015.7302979⟩
Accès au bibtex
BibTex
titre
Energy optimization of radio transmission using wake-up radio
auteur
Florin Doru Hutu, Aissa Khoumeri, Guillaume Villemaud, Jean-Marie Gorce
article
Atlantic Radio Science Conference, AT-RASC 2015, Union Radio-Scientifique Internationale, May 2015, Gran Canaria, Spain. ⟨10.1109/URSI-AT-RASC.2015.7302971⟩
Accès au bibtex
BibTex
titre
Optimizing contention based access methods for FBMC waveforms
auteur
Baher Mawlawi, Jean-Baptiste Doré, Vincent Berg
article
International Conference on Military Communications and Information Systems ICMCIS (former MCC), May 2015, Cracovie, Poland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01133383/file/main.pdf BibTex
titre
Radio link characterization of the CorteXlab testbed with a large number of software defined radio nodes
auteur
Achille Mouaffo, Leonardo Cardoso, Hervé Boeglen, Guillaume Villemaud, Rodolphe Vauzelle
article
Antennas and Propagation (EuCAP), 2015 9th European Conference on , Apr 2015, Lisbon, Portugal
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01245107/file/07228798.pdf BibTex
titre
CSMA/CA with RTS-CTS Overhead Reduction for M2M Communication
auteur
Baher Mawlawi, Jean-Baptiste Doré, Nikolai Lebedev, Jean-Marie Gorce
article
IEEE Wireless Communications And Networking Conference (WCNC2015), IEEE, Mar 2015, New Orleans, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01133377/file/WCNC2015.pdf BibTex
titre
A Monitoring System for Runtime Adaptations of Streaming Applications
auteur
Manuel Selva, Lionel Morel, Kevin Marquet, Stephane Frenot
article
International Conference on Parallel, Distributed and Network-based Processing, Mar 2015, Turku, Finland. ⟨10.1109/PDP.2015.53⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01229025/file/2015-PDP.pdf BibTex

Reports

titre
Feedback Enhances Simultaneous Wireless Information and Energy Transmission in Multiple Access Channels
auteur
Selma Belhadj Amor, Samir M. Perlaza, Ioannis Krikidis, H. Vincent Poor
article
[Research Report] RR-8804, INRIA Grenoble – Rhône-Alpes. 2015
Accès au texte intégral et bibtex
https://hal.science/hal-01223586/file/Final-Selma-V1-INRIA-RR-2015-8804V2.pdf BibTex
titre
Decentralized Maximum Distortion MMSE Attacks in Electricity Grids
auteur
Iñaki Esnaola, Samir M. Perlaza, H. Vincent Poor, Oliver Kosut
article
[Technical Report] RT-0466, Inria – Research Centre Grenoble – Rhône-Alpes. 2015, pp.26
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01194369/file/INRIA-TR2015-466V1.pdf BibTex
titre
A study of companding approaches to alleviate the ADC constraints when demodulating multiple signals
auteur
Mathieu Vallérian, Florin Hutu, Guillaume Villemaud, Benoît Miscopein, Tanguy Risset
article
[Research Report] RR-8683, Inria – Research Centre Grenoble – Rhône-Alpes; INRIA. 2015, pp.21
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01117302/file/RR-8683.pdf BibTex
titre
Noisy channel-output feedback capacity of the linear deterministic interference channel
auteur
Victor Quintero, Samir M. Perlaza, Jean-Marie Gorce
article
[Technical Report] RT-0456, INRIA Grenoble – Rhône-Alpes; INRIA. 2015, pp.35
Accès au texte intégral et bibtex
https://hal.science/hal-01117154/file/Quintero-INRIA-TR-456-2015.pdf BibTex

Theses

titre
Random access for dense networks: Design and Analysis of Multiband CSMA/CA
auteur
Baher Mawlawi
article
Networking and Internet Architecture [cs.NI]. INSA Lyon, 2015. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01258500/file/these_mawlawi.pdf BibTex

Preprints, Working Papers, …

titre
Fixed-Point Implementations of the Reciprocal, Square Root and Reciprocal Square Root Functions
auteur
Matei Istoan, Bogdan Pasca
article
2015
Accès au texte intégral et bibtex
https://hal.science/hal-01229538/file/fxpfunc.pdf BibTex
titre
On fixed-point hardware polynomials
auteur
Florent de Dinechin
article
2015
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01214739/file/2015-HardPolynomials.pdf BibTex

2014

Journal articles

titre
Green transmission technologies for balancing the energy efficiency and spectrum efficiency trade-off
auteur
Yiqun Wu, Yan Chen, Jie Tang, Daniel K.C. So, Zhikun Xu, I; Chih-Lin, Paul Ferrand, Jean-Marie Gorce, Chih-Hsuan Tang, Pei-Rong Li, Kai-Ten Feng, Li-Chun Wang, Kai Borner, Lars Thiele
article
IEEE Communications Magazine, 2014, 52 (11), pp.112-120. ⟨10.1109/MCOM.2014.6957151⟩
Accès au bibtex
BibTex
titre
A new wake-up radio architecture for wireless sensor networks
auteur
Florin Doru Hutu, Aissa Khoumeri, Guillaume Villemaud, Jean-Marie Gorce
article
EURASIP Journal on Wireless Communications and Networking, 2014, 177, ⟨10.1186/1687-1499-2014-177⟩
Accès au bibtex
BibTex
titre
Reconfigurable cognitive transceiver for opportunistic networks
auteur
Marco Maso, Ejder Baştuğ, Leonardo Cardoso, Mérouane Debbah, Özgür Özdemir
article
EURASIP Journal on Advances in Signal Processing, 2014, 2014 (69), pp.1-18. ⟨10.1186/1687-6180-2014-69⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01003077/file/1687-6180-2014-69.pdf BibTex
titre
Power Allocation Strategies in Energy Harvesting Wireless Cooperative Networks
auteur
Zhiguo Ding, Samir M. Perlaza, Iñaki Esnaola, H Vincent Poor
article
IEEE Transactions on Wireless Communications, 2014, 13 (2), pp.846-860. ⟨10.1109/TWC.2013.010213.130484⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00957149/file/Ding-TWC-2013.pdf BibTex
titre
Formation par projet et opportunité d’accès à distance à des ressources pédagogiques
auteur
Florin Doru Hutu, Bruno Allard, Fabrice Jumel, Mathieu Maranzana, Kevin Marquet, Lionel Morel, Luong Viet Phung, Tanguy Risset, Dominique Tournier, Guillaume Salagnac, Jacques Verdier
article
Journal sur l’enseignement des sciences et technologies de l’information et des systèmes, 2014, JPCNFM 2012 – 12e journées pédagogiques du CNFM (Coordination nationale pour la formation en micro-électronique et en nanotechnologies), 13 (005), pp.1-10. ⟨10.1051/j3ea/2014005⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01005316/file/J3EA_INSA_Lyon.pdf BibTex

Conference papers

titre
Qualitative Analysis of RSSI Behavior in Cooperative Wireless Body Area Networks for Mobility Detection and Navigation Applications
auteur
B Denis, Nicolas Amiot, Bernard Uguen, A Guizar, Claire Goursaud, A Ouni, C Chaudet
article
ICECS 2014, Dec 2014, Marseille, France
Accès au texte intégral et bibtex
https://hal.science/hal-01096509/file/2419.pdf BibTex
titre
Equilibria in data injection attacks
auteur
Iñaki Esnaola, Samir M. Perlaza, H. Vincent Poor
article
2014 IEEE Global Conference on Signal and Information Processing (GlobalSIP), Dec 2014, Atlanta, Georgia, United States. ⟨10.1109/GlobalSIP.2014.7032225⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01247321/file/Esnaola-Globalsip-2014.pdf BibTex
titre
The Software Defined Radio for Wireless Systems
auteur
Guillaume Villemaud
article
Hot topics in RFID/NFC, Nov 2014, Valence, France
Accès au bibtex
BibTex
titre
Combination of Digital Self-Interference Cancellation and AARFSIC for Full-Duplex OFDM Wireless
auteur
Zhaowu Zhan, Guillaume Villemaud
article
ICCC 2014, Oct 2014, Shanghai, China
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01090702/file/Zhaowu_ICCC2014.pdf BibTex
titre
A Compilation Flow for Parametric Dataflow: Programming Model, Scheduling, and Application to Heterogeneous MPSoC
auteur
Mickaël Dardaillon, Kevin Marquet, Tanguy Risset, Jérôme Martin, Henri-Pierre Charles
article
International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), Oct 2014, New Dehli, India. pp.1-10, ⟨10.1145/2656106.2656110⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01048649/file/cases2014-final.pdf BibTex
titre
Multiband CSMA/CA with RTS-CTS strategy
auteur
Baher Mawlawi, Jean-Baptiste Do, Nikolai Lebedev, Jean-Marie Gorce
article
Wireless and Mobile Computing, Networking and Communications, Oct 2014, Larnaca, Cyprus. pp.628 – 633, ⟨10.1109/WiMOB.2014.6962236⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01096277/file/main.pdf BibTex
titre
Live Group Detection for Mobile Wireless Sensor Networks
auteur
Matthieu Lauzier, Tanguy Risset, Antoine Fraboulet, Jean-Marie Gorce
article
BodyNets 2014 – 9th International Conference on Body Area Networks, Sep 2014, Londres, United Kingdom. ⟨10.4108/icst.bodynets.2014.257026⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01108423/file/Bodynets_short.pdf BibTex
titre
Impact of MAC Scheduling on Positioning Accuracy for Motion Capture with Ultra Wideband Body Area Networks
auteur
Arturo Guizar, Anis Ouni, Claire Goursaud, Nicolas Amiot, Jean-Marie Gorce
article
BodyNet 2014, Sep 2014, London, United Kingdom. ⟨10.4108/icst.bodynets.2014.257113⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01096503/file/sigproc-sp.pdf BibTex
titre
Performance Evaluation Of Multiband CSMA/CA With RTS/CTS For M2M Communication With Finite Retransmission Strategy
auteur
Baher Mawlawi, Jean-Baptiste Doré, Nikolai Lebedev, Jean-Marie Gorce
article
International Conference on Selected Topics in Mobile and Wireless Networking, Sep 2014, Rome, Italy. pp.108-115
Accès au texte intégral et bibtex
https://hal.science/hal-01096339/file/main.pdf BibTex
titre
Distributed Mobile Group Detection Algorithms: Application to Cycling Race
auteur
Matthieu Lauzier, Antoine Fraboulet, Jean-Marie Gorce, Tanguy Risset
article
Ninth IEEE Workshop on Practical Issues in Building Sensor Network Applications 2014, Sep 2014, Edmonton, Canada. ⟨10.1109/LCNW.2014.6927701⟩
Accès au bibtex
BibTex
titre
Energy-Capacity Trade-off Bounds in a downlink typical cell
auteur
Jean-Marie Gorce, Dimitrios Tsilimantos, Paul Ferrand, H. Vincent Poor
article
IEEE 25th International Symposium on Personal, Indoor and Mobile Radio Communications, IEEE, Sep 2014, Washington, United States. pp.1409-1414
Accès au bibtex
BibTex
titre
Digital Estimation and Compensation of I/Q Imbalance for Full-Duplex Dual-Band OFDM Radio
auteur
Zhaowu Zhan, Guillaume Villemaud, Florin Hutu, Jean-Marie Gorce
article
PIMRC 2014, Sep 2014, Washington, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01090698/file/Zhaowu_PIMRC2014.pdf BibTex
titre
Analysis of Frequency Channel Division Strategy for CSMA/CA with RTS/CTS Mechanism
auteur
Baher Mawlawi, Jean-Baptiste Doré, Nikolai Lebedev, Jean-Marie Gorce
article
International Conference on Sensing Technology, Sep 2014, Liverpool, United Kingdom. pp.5
Accès au texte intégral et bibtex
https://hal.science/hal-01096326/file/1569990253%20%281%29.pdf BibTex
titre
Impact of Mobility on Ranging Estimation using UltraWideband
auteur
Arturo Guizar, Anis Ouni, Claire Goursaud
article
ACM SIGCOMM conference, Aug 2014, Chicago, United States. pp.1-2
Accès au texte intégral et bibtex
https://hal.science/hal-01030848/file/n2w2014_submission_v1.pdf BibTex
titre
Interference Modelling and Analysis of Random FDMA scheme in Ultra Narrowband Networks
auteur
Minh-Tien Do, Claire Goursaud, Jean-Marie Gorce
article
AICT 2014, Jul 2014, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-01096493/file/Interference%20Modelling%20and%20Analysis%20for%20Ultra%20Narrow%20Band%20Networks%20Based%20On%20Random%20FDMA%20schemes.pdf BibTex
titre
Symmetric Decentralized Interference Channels with Noisy Feedback
auteur
Samir M. Perlaza, Ravi Tandon, H. Vincent Poor
article
IEEE Intl. Symposium on Information Theory (ISIT), Jun 2014, Honolulu, HI, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00983991/file/April-23-ISIT-2014.pdf BibTex
titre
Sum-of-Product Architectures Computing Just Right
auteur
Florent de Dinechin, Matei Istoan, Albdelbassat Massouri
article
ASAP – Application-specific Systems, Architectures and Processors, Jun 2014, Zurich, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00957609/file/SoPCCJR.pdf BibTex
titre
Study of a Full-Duplex Dual- Band OFDM Transceiver
auteur
Guillaume Villemaud, Zhaowu Zhan, Florin Hutu, Tanguy Risset, Jean-Marie Gorce
article
DUPLO Workshop on Full – Duplex Radios and Systems, Jun 2014, Oulu, Finland
Accès au bibtex
BibTex
titre
CorteXlab: A Facility for Testing Cognitive Radio Networks in a Reproducible Environment
auteur
Leonardo S. Cardoso, Abdelbassat Massouri, Benjamin Guillon, Paul Ferrand, Florin Hutu, Guillaume Villemaud, Tanguy Risset, Jean-Marie Gorce
article
in Proc. 9th International Conference on Cognitive Radio Oriented Wireless Networks and Communications (CROWNCOM), Jun 2014, Oulu, Finland. pp.503 – 507, ⟨10.4108/icst.crowncom.2014.255812⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01101087/file/crowncom_1st_round_review.pdf BibTex
titre
Incremental checkpointing of program state to NVRAM for transiently-powered systems
auteur
Fayçal Ait Aoudia, Kevin Marquet, Guillaume Salagnac
article
ReCoSoC – 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, Jun 2014, Montpellier, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01004805/file/AMS-recosoc14.pdf BibTex
titre
CorteXlab: A Cognitive Radio Testbed for Reproducible Experiments
auteur
Leonardo S. Cardoso, Abdelbassat Massouri, Benjamin Guillon, Florin Hutu, Guillaume Villemaud, Tanguy Risset, Jean-Marie Gorce
article
in Proc. Wireless @ Virginia Tech Symposium, May 2014, Blacksburg, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01101103/file/wirelessVT.pdf BibTex
titre
Body area networks: a new wireless network paradigm
auteur
Jean-Marie Gorce
article
Wireless@Virginia Tech, May 2014, Blacksburg, United States
Accès au bibtex
BibTex
titre
On the Benefits of Random FDMA Schemes in Ultra Narrow Band Networks
auteur
Minh Tien Do, Claire Goursaud, Jean-Marie Gorce
article
WiOpt/WNC3, May 2014, Tunisia
Accès au bibtex
BibTex
titre
Decentralized Interference Channels with Noisy Feedback Possess Pareto Optimal Nash Equilibria
auteur
Samir M. Perlaza, Ravi Tandon, H. Vincent Poor
article
Proc. of the 6th International Symposium on Communications, Control, and Signal Processing (ISCCSP 2014), May 2014, Athens, Greece
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00957146/file/Perlaza-ISCCSP-2014.pdf BibTex
titre
CorteXlab: An Open FPGA-based Facility for Testing SDR & Cognitive Radio Networks in a Reproducible Environment
auteur
Albdelbassat Massouri, Leonardo Cardoso, Benjamin Guillon, Florin Hutu, Guillaume Villemaud, Tanguy Risset, Jean-Marie Gorce
article
INFOCOM’2014 Demo/Poster Session, IEEE, Apr 2014, Toronto, Canada
Accès au bibtex
BibTex
titre
Contrôle d’application flot de données pour les systèmes sur puces : étude de cas sur la plateforme Magali
auteur
Mickaël Dardaillon, Kevin Marquet, Tanguy Risset, Jérôme Martin, Henri-Pierre Charles
article
ComPAS 2014 : conférence en parallélisme, architecture et systèmes, Apr 2014, Neuchâtel, Suisse. pp.1 – 12
Accès au texte intégral et bibtex
https://hal.science/hal-00984310/file/compas2014_submission_31.pdf BibTex
titre
Realistic prediction of outage probability and confidence interval of BER for indoor radio communications
auteur
Meiling Luo, Guillaume Villemaud, Jean-Marie Gorce, Jie Zhang
article
EUCAP 2014, Apr 2014, The Hague, Netherlands. pp.2105 – 2109, ⟨10.1109/EuCAP.2014.6902223⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01090704/file/Luo_EUCAP2014.pdf BibTex
titre
FPGA-based Implementation of Multiple PHY Layers of IEEE 802.15.4 Targeting SDR Platform
auteur
Albdelbassat Massouri, Tanguy Risset
article
SDR-WInnComm, Apr 2014, Schaumburg, Illinois, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01005317/file/SDR-WinnComm2014-texte.pdf BibTex
titre
Study of a Wake Up Radio Architecture for Home Multimedia Networks
auteur
Aissa Khoumeri, Florin Doru Hutu, Guillaume Villemaud, Jean-Marie Gorce
article
ECUMICT 2014, Mar 2014, Gent, Belgium. pp.63-72, ⟨10.1007/978-3-319-05440-7_6⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00973158/file/ArticleV-Finale.pdf BibTex
titre
Enjeux et propositions sur les architectures RF pour l’homme connecté à la société numérique
auteur
Guillaume Villemaud, Florin Hutu, Tanguy Risset, Jean-Marie Gorce
article
Journées Scientifiques URSI 2014, Mar 2014, Paris, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01090016/file/Homme_connect%C3%A9_Villemaud.pdf BibTex
titre
Compilation for heterogeneous SoCs : bridging the gap between software and target-specific mechanisms
auteur
Mickaël Dardaillon, Kevin Marquet, Tanguy Risset, Jerome Martin, Henri-Pierre Charles
article
workshop on High Performance Energy Efficient Embedded Systems – HIPEAC, Jan 2014, Vienne, Austria
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00936924/file/hip3es_submission_1.pdf BibTex
titre
Wake-up radio architecture for home wireless networks
auteur
Florin Hutu, Aissa Khoumeri, Guillaume Villemaud, Jean-Marie Gorce
article
IEEE Radio Wireless Symposium, Jan 2014, Newport Beach, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00920899/file/RWS2014_Hutu.pdf BibTex
titre
Analysis and Reduction of the Impact of Thermal Noise on the Full-Duplex OFDM Radio
auteur
Zhaowu Zhan, Guillaume Villemaud, Jean-Marie Gorce
article
IEEE Radio Wireless Symposium, Jan 2014, Newport Beach, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00920912/file/RWS2014_Zhan.pdf BibTex
titre
Full Duplex Prototype of OFDM on GNURadio and USRPs
auteur
Wei Zhou, Guillaume Villemaud, Tanguy Risset
article
IEEE Radio Wireless Symposium, Jan 2014, Newport Beach, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00920902/file/RWS2014_Zhou.pdf BibTex
titre
SDR for SRD: ADC specifications for reconfigurable gateways in urban sensor networks
auteur
Mathieu Vallerian, Guillaume Villemaud, Benoit Miscopein, Tanguy Risset, Florin Hutu
article
IEEE Radio Wireless Symposium, Jan 2014, Newport Beach, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00920906/file/RWS2014_Vallerian.pdf BibTex

Book sections

titre
Evaluating Elementary Functions
auteur
Florent de Dinechin, Jean-Michel Muller
article
Nicholas Higham. Princeton Companion to Applied Mathematics, Princeton University Press, pp.2, 2014
Accès au bibtex
BibTex
titre
Game-Theoretic Solution Concepts and Learning Algorithms
auteur
Samir M. Perlaza, Samson Lasaulce
article
Tansu Alpcan and Holger Boche and Michael Honig and H. Vincent Poor. Mechanisms and Games for Dynamic Spectrum Allocation, Cambridge University Press, pp.185-221, 2014, ⟨10.1017/CBO9781139524421.009⟩
Accès au bibtex
BibTex

Books

titre
Les communications multi-*
auteur
Guillaume Villemaud
article
Presses académiques francophones, 2014, 978-3-8381-7436-5
Accès au bibtex
BibTex

Reports

titre
Full-Duplex Dual-Band Radio Dedicated to Flexible Radio Communications
auteur
Zhaowu Zhan, Guillaume Villemaud, Florin Hutu, Jean-Marie Gorce
article
[Research Report] RR-8558, INRIA. 2014
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01018429/file/RR-8558.pdf BibTex
titre
Downlink Cellular Interference Alignment
auteur
Paul Ferrand, Jean-Marie Gorce
article
[Research Report] RR-8543, INRIA. 2014
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00996728/file/rr-ia.pdf BibTex

Theses

titre
ON DESIGN CONCEPT FOR FULL-DUPLEX BASED FLEXIBLE RADIO TRANSCEIVERS
auteur
Zhaowu Zhan
article
Networking and Internet Architecture [cs.NI]. Institut National des Sciences Appliquées de Lyon (INSA Lyon), 2014. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01266878/file/Dissertation%20-%20Zhaowu%20ZHAN.pdf BibTex

2013

Journal articles

titre
On the Resilience of Wireless Multiuser Networks to Passive and Active Eavesdroppers
auteur
Arsenia Chorti, Samir M. Perlaza, Zhu Han, H. Vincent Poor
article
IEEE Journal on Selected Areas in Communications, 2013, ⟨10.1109/JSAC.2013.130917⟩
Accès au bibtex
BibTex
titre
Self-Organization in Small Cell Networks: A Reinforcement Learning Approach
auteur
Mehdi Bennis, Samir M. Perlaza, Pol Blasco, Han Zhu, H. Vincent Poor
article
IEEE Transactions on Wireless Communications, 2013, 12 (7), pp.3202-3212. ⟨10.1109/TWC.2013.060513.120959⟩
Accès au bibtex
BibTex
titre
Energy-efficient Localisation: GPS Duty Cycling with Radio Ranging
auteur
Raja Jurdak, Peter Corke, Alban Cotillon, Dhinesh Dharman, Chris Crossman, Guillaume Salagnac
article
ACM Transactions on Sensor Networks, 2013, 9 (3), ⟨10.1145/2422966.2422980⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00912852/file/TOSN13.pdf BibTex
titre
Packet Error Outage for Coded Systems Experiencing Fast Fading and Shadowing
auteur
Philippe Mary, Mischa Dohler, Jean-Marie Gorce, Guillaume Villemaud
article
IEEE Transactions on Wireless Communications, 2013, 12 (2), pp.574-585. ⟨10.1109/TWC.2012.121812.111785⟩
Accès au bibtex
BibTex
titre
Cooperative Scheduling for Coexisting Body Area Networks
auteur
Lusheng Wang, Claire Goursaud, Navid Nikaein, Laura Cottatellucci, Jean-Marie Gorce
article
IEEE Transactions on Wireless Communications, 2013, 12 (1), pp.123-133. ⟨10.1109/TWC.2012.120412.112073⟩
Accès au bibtex
BibTex

Conference papers

titre
CSMA/CA Bottleneck Remediation in Saturation Mode with New Backoff Strategy
auteur
Baher Mawlawi, Jean-Baptiste Doré
article
6th International Workshop on Multiple Access Communications, Dec 2013, Vilnius, Lithuania. pp.70 – 81, ⟨10.1007/978-3-319-03871-1_7⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01096314/file/main.pdf BibTex
titre
The coalitional switch-off game of service providers
auteur
Cengis Hasan, Eitan Altman, Jean-Marie Gorce
article
IEEE WiMob – 9th International Conference on Wireless and Mobile Computing, Networking and Communications, Oct 2013, Lyon, France. pp.223-230, ⟨10.1109/WiMOB.2013.6673365⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00913232/file/cengis-grown2013.pdf BibTex
titre
Design and Evaluation of a Wideband Full-Duplex OFDM System Based on AASIC
auteur
Zhaowu Zhan, Guillaume Villemaud, Jean-Marie Gorce
article
IEEE Personal Indoor and Mobile Radio Communications (PIMRC), 2013, Sep 2013, London, United Kingdom. pp.68 – 72, ⟨10.1109/PIMRC.2013.6666106⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00920918/file/PIMRC2013_Zhan.pdf BibTex
titre
Partner selection for decode-and-forward cooperative relaying: A matching theoretic approach
auteur
Cengis Hasan, Eitan Altman, Jean-Marie Gorce
article
IEEE PIMRC – 24th International Symposium on Personal Indoor and Mobile Radio Communications, Sep 2013, London, United Kingdom. pp.2275-2280, ⟨10.1109/PIMRC.2013.6666523⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00926587/file/cengis-pimrc.pdf BibTex
titre
Power Allocation in Relay Channels under a Global Power Constraint using Virtual Nodes
auteur
Paul Ferrand, Jean-Marie Gorce, Claire Goursaud
article
PIMRC – IEEE International Symposium on Personal Indoor and Mobile Radio Communications, Sep 2013, London, United Kingdom. pp.949-953, ⟨10.1109/PIMRC.2013.6666274⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00923653/file/pimrc-2013.pdf BibTex
titre
Représentation alternative des canaux à relais gaussiens à travers un noeud virtuel
auteur
Paul Ferrand, Jean-Marie Gorce, Claire Goursaud
article
Gretsi – 24eme Colloque Gretsi, Sep 2013, Brest, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00923657/file/gretsi_relay.pdf BibTex
titre
Approximations asymptotiques du taux d’erreur paquet et allocation de puissance dans les canaux à relais sous évanouissements lents
auteur
Paul Ferrand, Jean-Marie Gorce, Claire Goursaud
article
Gretsi – 24eme Colloque Gretsi, Sep 2013, Brest, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00923655/file/gretsi-per.pdf BibTex
titre
Arithmetic core generation using bit heaps
auteur
Nicolas Brunie, Florent de Dinechin, Matei Istoan, Guillaume Sergent, Kinga Illyes, Bogdan Popa
article
23rd International Conference on Field Programmable Logic and Applications, Sep 2013, Porto, Portugal. pp.1-8
Accès au texte intégral et bibtex
https://ens-lyon.hal.science/ensl-00738412/file/2013-FPL-BitHeap.pdf BibTex
titre
Simultaneous information and power transfer in wireless cooperative networks
auteur
Zhiguo Ding, Samir M. Perlaza, Iñaki Esnaola, H. Vincent Poor
article
8th International ICST Conference on Communications and Networking in China (CHINACOM), Aug 2013, Guilin, China. ⟨10.1109/ChinaCom.2013.6694600⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01281031/file/SubmittedVersion.pdf BibTex
titre
On the impact of network-state knowledge on the Feasibility of secrecy
auteur
Samir M. Perlaza, Arsenia Chorti, H. Vincent Poor, Zhu Han
article
2013 IEEE International Symposium on Information Theory (ISIT), Jul 2013, Istanbul, Turkey. pp.2960-2964, ⟨10.1109/ISIT.2013.6620768⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01281165/file/Perlaza-ISIT-2013.pdf BibTex
titre
On the Tradeoffs Between Network State Knowledge and Secrecy
auteur
Samir M. Perlaza, Arsenia Chorti, H. Vincent Poor, Zhu Han
article
2013 IEEE Global Wireless Summit (GWS), Jun 2013, Atlantic City, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01281059/file/Perlaza-GWS-2013.pdf BibTex
titre
Extending dataflow programs for guaranteed throughput.
auteur
Manuel Selva, Lionel Morel, Kévin Marquet, Stéphane Frénot
article
MES ’13 – International Workshop on Many-core Embedded Systems, Jun 2013, Tel Aviv, Israel. pp.54-57, ⟨10.1145/2489068.2489077⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00876566/file/mes.pdf BibTex
titre
Swap Fairness for Thrashing Mitigation
auteur
François Goichon, Guillaume Salagnac, Stéphane Frénot
article
ECSA – European Conference on Software Architecture – 2013, Jun 2013, Montpellier, France. pp.17
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00912875/file/GSF-ecsa13.pdf BibTex
titre
Proposition d’une architecture de réveil radio utilisée dans le contexte des réseaux multimédia domestiques
auteur
Aissa Khoumeri, Florin Hutu, Guillaume Villemaud, Jean-Marie Gorce
article
Journées Nationales Microondes, May 2013, Paris, France
Accès au bibtex
BibTex
titre
Stochastic analysis of energy savings with sleep mode in OFDMA wireless networks
auteur
Dimitrios Tsilimantos, Jean-Marie Gorce, Eitan Altman
article
IEEE INFOCOM – 32nd International Conference on Computer Communications, Apr 2013, Turin, Italy. pp.1097-1105, ⟨10.1109/INFCOM.2013.6566900⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00913219/file/gorce-innfocom_2013.pdf BibTex
titre
Full mesh channel measurements on Body Area Networks under walking scenarios
auteur
Matthieu Lauzier, Paul Ferrand, Antoine Fraboulet, Hervé Parvery, Jean-Marie Gorce
article
EuCAP – European Conference on Antennas and Propagation, Apr 2013, Gothenburg, Sweden. pp.3508-3512
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00923651/file/eucap-2013.pdf BibTex
titre
Realistic Prediction of BER for Adaptive OFDM Systems
auteur
Meiling Luo, Guillaume Villemaud, Jean-Marie Gorce, Jie Zhang
article
Antennas and Propagation (EuCAP), 2013 7th European Conference on, Apr 2013, Gothenburg, Sweden
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00920924/file/EUCAP2013_Luo.pdf BibTex
titre
Common Rate Maximization in Cooperative Multiple Access Channels
auteur
Paul Ferrand, Jean-Marie Gorce, Claire Goursaud
article
WCNC – IEEE Wireless Communications and Networking Conference, Apr 2013, Shanghai, China. pp.3169-3174, ⟨10.1109/WCNC.2013.6555069⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00923647/file/wcnc-2013.pdf BibTex
titre
Realistic Prediction of BER and AMC with MRC Diversity for Indoor Wireless Transmissions
auteur
Meiling Luo, Guillaume Villemaud, Jialai Weng, Jean-Marie Gorce, Jie Zhang
article
Wireless Communications and Networking Conference (WCNC), 2013 IEEE, Apr 2013, Shanghai, China. ⟨10.1109/WCNC.2013.6555227⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00920929/file/WCNC2013_Luo.pdf BibTex
titre
A QoS Monitoring System for Dataflow Programs
auteur
Manuel Selva, Lionel Morel, Kevin Marquet, Stéphane Frénot
article
ComPAS: Conférence en Parallélisme, Architecture et Système, Inria, Jan 2013, Grenoble, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00780976/file/cfse.pdf BibTex

Book sections

titre
Radio Propagation Modeling
auteur
Zhihua Lai, Guillaume Villemaud, Meiling Luo, Jie Zhang
article
Chu, Xiaoli and Lopez Perez, David and Yang, Yang and Gunnarsson, Fredrik. Heterogeneous Cellular Networks: Theory, Simulation and Deployment, Cambridge, 2013
Accès au bibtex
BibTex

Habilitation à diriger des recherches

titre
Les communications multi-* : contribution au développement d’architectures radio flexibles pour les réseaux sans fil hétérogènes
auteur
Guillaume Villemaud
article
Electronique. INSA de Lyon, 2013
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01018472/file/HDR_Villemaud_finale.pdf BibTex

Reports

titre
Cognitive Radio Programming: Existing Solutions and Open Issues
auteur
Mickaël Dardaillon, Kevin Marquet, Jérôme Martin, Tanguy Risset, Henri-Pierre Charles
article
[Research Report] RR-8358, INRIA. 2013, pp.27
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00859467/file/RR-8358.pdf BibTex
titre
Swap Fairness for Thrashing Mitigation
auteur
François Goichon, Guillaume Salagnac, Stéphane Frénot
article
[Research Report] RR-8388, INRIA. 2013, pp.17
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00879084/file/RR-8388.pdf BibTex
titre
Approximations of the packet error rate under slow fading in direct and relayed links
auteur
Paul Ferrand, Jean-Marie Gorce, Claire Goursaud
article
[Research Report] RR-8316, INRIA. 2013
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00834904/file/rr-inria.pdf BibTex
titre
Power Allocation in Relay Channels under a Global Power Constraint using Virtual Nodes
auteur
Paul Ferrand, Jean-Marie Gorce, Claire Goursaud
article
[Research Report] RR-8317, INRIA. 2013, pp.12
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00833809/file/rr-relay.pdf BibTex
titre
Distributed Group Consensus Algorithms for Mobile Wireless Sensor Networks
auteur
Matthieu Lauzier, Antoine Fraboulet, Jean-Marie Gorce, Tanguy Risset
article
[Research Report] RR-8518, INRIA. 2013
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00985965/file/RR-8518.pdf BibTex

Theses

titre
Equité d’accès aux ressources dans les systèmes partagés best-effort
auteur
François Goichon
article
Système d’exploitation [cs.OS]. INSA de Lyon, 2013. Français. ⟨NNT : 2013-ISAL-0162⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00921313/file/these.pdf BibTex
titre
Indoor radio propagation modeling for system performance prediction
auteur
Meiling Luo
article
Electromagnetism. INSA de Lyon, 2013. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00937481/file/thesis_Meiling_LUO.pdf BibTex
titre
Modélisation et simulation des réseaux sans fil hétérogènes et non-stationnaires. Application aux topologies de petites cellules
auteur
Laurent Maviel
article
Réseaux et télécommunications [cs.NI]. INSA de Lyon, 2013. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00942972/file/these.pdf BibTex

2012

Journal articles

titre
Realistic Prediction of BER and AMC for Indoor Wireless Transmissions
auteur
Meiling Luo, Guillaume Villemaud, Jean-Marie Gorce, Jie Zhang
article
IEEE Antennas and Wireless Propagation Letters, 2012, ⟨10.1109/LAWP.2012.2218213⟩
Accès au bibtex
BibTex
titre
Computationally Efficient MR-FDPF and MR-FDTLM Methods for Multifrequency Simulations
auteur
Dmitry Umansky, Jean-Marie Gorce, Meiling Luo, Guillaume de La Roche, Guillaume Villemaud
article
IEEE Transactions on Antennas and Propagation, 2012, 61 (3), pp.1309-1320. ⟨10.1109/TAP.2012.2227920⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00737356/file/TAP_Umansky.pdf BibTex
titre
Static Vulnerability Detection in Java Service-oriented Components
auteur
François Goichon, Guillaume Salagnac, Pierre Parrend, Stéphane Frénot
article
Journal in Computer Virology, 2012, ⟨10.1007/s11416-012-0172-1⟩
Accès au bibtex
BibTex
titre
Relative flux calibration for the Guoshoujing Telescope (LAMOST)
auteur
Yi-Han Song, A-Li Luo, Georges Comte, Zhong-Rui Bai, Jian-Nan Zhang, Wei Du, Hao-Tong Zhang, Jian-Jun Chen, Fang Zuo, Yong-Heng Zhao
article
Research in Astronomy and Astrophysics, 2012, 12 (4), pp.453-464. ⟨10.1088/1674-4527/12/4/009⟩
Accès au bibtex
BibTex
titre
Time-Varying Delay Passivity Analysis in 4 GHz Antennas Array Design
auteur
Sebastien Cauet, Florin Hutu, Patrick Coirault
article
Circuits, Systems, and Signal Processing, 2012, 31 (1), pp.93-106. ⟨10.1007/s00034-010-9247-8⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00600716/file/Circuits_system_signal_proc2010.pdf BibTex
titre
Energy-Delay Tradeoff in Wireless Multihop Networks with Unreliable Links
auteur
R. Zhang, Olivier Berder, J.M. Gorce, Olivier Sentieys
article
Ad Hoc Networks, 2012, 10 (7), pp.1306 -1321, x-editorial-board = yes, x-international-audience = yes
Accès au bibtex
BibTex

Conference papers

titre
Hardware Implementation of the GPS authentication
auteur
Mickaël Dardaillon, Cédric Lauradoux, Tanguy Risset
article
ReConFig – International Conference on ReConFigurable Computing and FPGAs, Dec 2012, Cancun, Mexico
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00737003/file/gps.pdf BibTex
titre
Security Embedding on UWB-IR Physical Layer
auteur
Jean-Marie Gorce, Benoit Miscopein, Ahmed Benfarah
article
IEEE GLOBECOM, Dec 2012, Anaheim, United States
Accès au bibtex
BibTex
titre
A Hybrid Propagation Model for Large-scale Variations Caused by Vehicular Traffic in Small Cells
auteur
Laurent Maviel, Yves Lostanlen, Jean-Marie Gorce
article
IEEE GLOBECOM, IEEE, Dec 2012, Anaheim, United States
Accès au bibtex
BibTex
titre
Physical layer security in wireless networks with passive and active eavesdroppers
auteur
Arsenia Chorti, Samir M. Perlaza, Zhu Han, H. Vincent Poor
article
2012 IEEE Global Communications Conference (GLOBECOM), Dec 2012, Anaheim, CA, United States. pp.4868-4873, ⟨10.1109/GLOCOM.2012.6503890⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01281170/file/Chorti-GLOBECOM-2013.pdf BibTex
titre
On the decentralized management of scrambling codes in small cell networks
auteur
Samir M. Perlaza, Zhu Han, H. Vincent Poor, Dusit Niyato
article
13th IEEE International Conference on Communication Systems (ICCS), Nov 2012, Singapour, Singapore. pp.478-482, ⟨10.1109/ICCS.2012.6406194⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01281184/file/Perlaza-ICCS-2012.pdf BibTex
titre
Impact of intra-flow network coding on the relay channel performance: an analytical study
auteur
Anya Apavatjrut, Claire Goursaud, Katia Jaffrès-Runser, Jean-Marie Gorce
article
WiMob 2012, Oct 2012, Barcelone, Spain. pp.1569627651
Accès au texte intégral et bibtex
https://hal.science/hal-00739119/file/WiMob2012.pdf BibTex
titre
The Nash equilibrium region of the linear deterministic interference channel with feedback
auteur
Samir M. Perlaza, Ravi Tandon, H. Vincent Poor, Zhu Han
article
50th Allerton Conference on Communication, Control, and Computing (Allerton-2012), Oct 2012, Monticello, Illinois, United States. ⟨10.1109/Allerton.2012.6483439⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01280970/file/InterferenceChannelWithFeedback.pdf BibTex
titre
WBANs for live sport monitoring : an experimental approach, early results and perspectives
auteur
Matthieu Lauzier, Paul Ferrand, Hervé Parvery, Antoine Fraboulet, Jean-Marie Gorce
article
EURO-COST IC1004 – EUROPEAN COOPERATION IN THE FIELD OF SCIENTIFIC AND TECHNICAL RESEARCH – 24-26 September, 2012, Sep 2012, Bristol, United Kingdom
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00738786/file/TD_12_05072.pdf BibTex
titre
Software Defined Radio Architecture Survey for Cognitive Testbeds
auteur
Mickaël Dardaillon, Kevin Marquet, Tanguy Risset, Antoine Scherrer
article
IWCMC 2012 : 8th International Wireless Communications and Mobile Computing Conference, Aug 2012, Limassol, Cyprus
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00736995/file/survey.pdf BibTex
titre
Realistic Prediction of Available Throughput of OFDM Small Cells
auteur
Guillaume Villemaud
article
6th Small Cell and HetNetWorshop, Jun 2012, Londres, United Kingdom
Accès au bibtex
BibTex
titre
The GLUON Family: A Lightweight Hash Function Family Based on FCSRs
auteur
Thierry Pierre Berger, Joffrey d’Hayer, Kévin Marquet, Marine Minier, Gaël Thomas
article
AFRICACRYPT 2012 – 5th International Conference on Cryptology in Africa, Jun 2012, Ifrance, Morocco. pp.306-323, ⟨10.1007/978-3-642-31410-0_19⟩
Accès au bibtex
BibTex
titre
Non-Cooperative Association Of Mobiles To Access Points Revisited
auteur
Cengis Hasan, Eitan Altman, Jean-Marie Gorce, Majed Haddad
article
WiOpt’12: Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks, May 2012, Paderborn, Germany. pp.185-191
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00763628/file/p185-hasan.pdf BibTex
titre
CorteXlab: A Large Scale Testbed for Physical Layer in Cognitive Radio Networks
auteur
Leonardo S. Cardoso, Guillaume Villemaud, Tanguy Risset, Jean-Marie Gorce
article
IC1004 Scientific Meeting, May 2012, Lyon, France
Accès au bibtex
BibTex
titre
Cooperation Scenarios in Cooperative Multiple Access Channels
auteur
Paul Ferrand, Claire Goursaud, Jean-Marie Gorce
article
COST IC1004 + iPLAN Joint Workshop on « Small Cell Cooperative Communications », May 2012, Lyon, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00737839/file/cost-2012.pdf BibTex
titre
Wake-up radio architectures used in wireless sensor networks
auteur
Aissa Khoumeri, Florin Hutu, Guillaume Villemaud, Jean-Marie Gorce
article
COST IC1004, May 2012, Lyon, France
Accès au bibtex
BibTex
titre
Combining LT codes and XOR network coding for reliable and energy efficient transmissions in wireless sensor networks
auteur
Anya Apavatjrut, Katia Jaffrès-Runser, Claire Goursaud, Jean-Marie Gorce
article
35th IEEE Sarnoff symposium, May 2012, Newark, United States
Accès au bibtex
BibTex
titre
Measurements of large-scale variations caused by vehicular traffic in small-cells
auteur
Laurent Maviel, A. Cordonnier, Yves Lostanlen, Jean-Marie Gorce
article
ICT 2012 – 19th International Conference on Telecommunications, Apr 2012, Jounieh, Lebanon. pp.1-5, ⟨10.1109/ICTEL.2012.6221313⟩
Accès au bibtex
BibTex
titre
Model Predictive Control for Smooth Distributed Power Adaptation
auteur
Virgile Garcia, Nikolai Lebedev, Jean-Marie Gorce
article
IEEE WCNC 2012, Apr 2012, Paris, France. pp
Accès au texte intégral et bibtex
https://hal.science/hal-00696294/file/WCNC-rapport.pdf BibTex
titre
Energy-Delay Tradeoffs in a Linear Sequence of Relay Channels
auteur
Paul Ferrand, Claire Goursaud, Jean-Marie Gorce
article
Wireless Communications and Networking Conference (WCNC), 2012 IEEE, Apr 2012, Paris, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00737844/file/wcnc.pdf BibTex
titre
Towards Stronger Jamming Model: Application to TH-UWB Radio
auteur
Ahmed Benfarah, Benoit Miscopein, Cédric Lauradoux, Jean-Marie Gorce
article
IEEE WCNC – Wireless Communications and Networking Conference, Apr 2012, Paris, France
Accès au bibtex
BibTex
titre
Performance Evaluation of Multi-antenna and Multi-mode Relays Using a Network Simulator
auteur
Guillaume Villemaud, Cédric Lévy-Bencheton, Tanguy Risset
article
EUCAP 2012, Mar 2012, Prague, Czech Republic
Accès au bibtex
BibTex
titre
On Predicting Large Scale Fading Characteristics with the MR-FDPF Method
auteur
Meiling Luo, Nikolai Lebedev, Guillaume Villemaud, Guillaume de La Roche, Jie Zhang, Jean-Marie Gorce
article
6th European Conference on Antennas and Propagation (EECAP) 2012, Mar 2012, Prague, Czech Republic. 5 p
Accès au texte intégral et bibtex
https://hal.science/hal-00696357/file/EUCAP-2012.pdf BibTex
titre
On Predicting Large Scale Fading Characteristics with a Finite Difference Method
auteur
Meiling Luo, Nikolai Lebedev, Guillaume Villemaud, Guillaume de La Roche, Jie Zhang, Jean-Marie Gorce
article
IC1004 Scientific Meeting, Feb 2012, Barcelona, Spain
Accès au bibtex
BibTex
titre
Coverage Prediction for Heterogeneous Networks: From Macrocells to Femtocells
auteur
Guillaume Villemaud
article
Femtocell Winter School, Feb 2012, Barcelone, Spain
Accès au bibtex
BibTex

Book sections

titre
Various Extensions for the Ambient OSGi Framework
auteur
Stéphane Frénot, Frédéric Le Mouël, Julien Ponge, Guillaume Salagnac
article
Information Resources Management Association. Computer Engineering: Concepts, Methodologies, Tools and Applications, IGI Global, pp.1799-1810, 2012, 9781613504567. ⟨10.4018/978-1-61350-456-7.ch712⟩
Accès au bibtex
BibTex
titre
MIMO and Next Generation Systems
auteur
Alister Burr, Ioan Burciu, P. Chambers, T. Javornik, K. Kansanen, J. Olmos, C. Pietsch, J. Sykora, W. Teich, Guillaume Villemaud
article
Springer. Signals and Communication Technology, Springer, pp.301-339, 2012, Pervasive Mobile and Ambient Wireless Communications, ⟨10.1007/978-1-4471-2315-6_7⟩
Accès au bibtex
BibTex
titre
Fountain codes and network coding for WSNs
auteur
Anya Apavatjrut, Claire Goursaud, Katia Jaffrès-Runser, Jean-Marie Gorce
article
Khaldoun Al Agha. Network coding, Wiley, pp.27-72, 2012, ISTE
Accès au bibtex
BibTex

Reports

titre
Common Rate Maximization in Cooperative Multiple Access Channels
auteur
Paul Ferrand, Jean-Marie Gorce, Claire Goursaud
article
[Research Report] 2012
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00742234/file/wcnc-2013.pdf BibTex
titre
Entropy transfers in the Linux Random Number Generator
auteur
François Goichon, Cédric Lauradoux, Guillaume Salagnac, Thibaut Vuillemin
article
[Research Report] RR-8060, INRIA. 2012, pp.26
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00738638/file/rr8060.pdf BibTex
titre
Achievable Common Rate and Power Allocation in Cooperative Multiple Access Channels
auteur
Paul Ferrand, Claire Goursaud, Jean-Marie Gorce
article
[Research Report] 2012
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00690407/file/isit.pdf BibTex
titre
Fundamental limits of wireless ad hoc networks: lower MO bounds
auteur
Qi Wang, Claire Goursaud, Katia Jaffrès-Runser, Jean-Marie Gorce
article
[Research Report] RR-7905, INRIA. 2012, pp.22
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00678661/file/RR-7905.pdf BibTex

2010

Conference papers

titre
Parflow: Comparison Between Two Implementation
auteur
Guillaume Villemaud, Jean-Frederic Wagen, Jean-Marie Gorce, Guillaume de La Roche
article
Second International Workshop on Femtocells, Jun 2010, Luoton, United Kingdom
Accès au bibtex
BibTex
titre
Combined Model for Outdoor to Indoor Radio Propagation
auteur
Guillaume de La Roche, Paul Flipo, Zhihua Lai, Guillaume Villemaud, Jie Zhang, Jean-Marie Gorce
article
COST2100 Management Meeting, Feb 2010, Athens, Greece
Accès au bibtex
BibTex

2009

Journal articles

titre
Robust synchronization of different coupled oscillators: Application to antenna arrays
auteur
Florin Doru Hutu, Sébastien Cauet, Patrick Coirault
article
Journal of The Franklin Institute, 2009, 346 (5), pp.413-430. ⟨10.1016/j.jfranklin.2009.01.001⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01007680/file/franklin_institute.pdf BibTex

Conference papers

titre
Global system approach to validate a wireless system even with a multi-antennas receiver structure
auteur
Jose-Cruz Nunez-Perez, Jacques Verdier, Guillaume Villemaud, Jean-Marie Gorce
article
Circuits and Systems, 2009. MWSCAS ’09. 52nd IEEE International Midwest Symposium on, Aug 2009, Cancun, Mexico
Accès au bibtex
BibTex

2005

Conference papers

titre
Antennes pour les réseaux de capteurs : contraintes d’intégration et potentiels des techniques multi-antennes
auteur
Guillaume Villemaud
article
Workshop RECAP, Nov 2005, Nice, France
Accès au bibtex
BibTex

2001

Conference papers

titre
Propagation simulation with the ParFlow method: fast computation using a multi-resolution scheme
auteur
Stéphane Ubéda, Jean-Marie Gorce
article
IEEE Vehicular Technology Conference, 2001, atlantic city, United States
Accès au bibtex
BibTex

Les commentaires sont clos.