Publications

Below are the recent publications from members of the PACAP team, extracted from the HAL archive (you may want to browse HAL directly).

Note: PACAP is a followup of ALF. Earlier publications can be found on the ALF page.

Publications HAL du labo/EPI pacap

2024

Conference papers

titre
Fast and Accurate Context-Aware Basic Block Timing Prediction using Transformers
auteur
Abderaouf Nassim Amalou, Elisa Fromont, Isabelle Puaut
article
CC 2024 – ACM SIGPLAN 33rd International Conference on Compiler Construction, Gabriel Rodríguez, Mar 2024, Edimbourg, United Kingdom. pp.227-237, ⟨10.1145/3640537.3641572⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04406073/file/3640537.3641572.pdf BibTex
titre
SCHEMATIC: Compile-time checkpoint placement and memory allocation for intermittent systems
auteur
Hugo Reymond, Jean-Luc Béchennec, Mikaël Briday, Sébastien Faucou, Isabelle Puaut, Erven Rohou
article
IEEE/ACM International Symposium on Code Generation and Optimization (CGO’24), Mar 2024, Edinburgh, United Kingdom. pp.258-269, ⟨10.1109/CGO57630.2024.10444789⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04345348/file/SCHEMATIC_CGO.pdf BibTex

Reports

titre
Réduire les émissions GES de nos déplacements professionnels : Une Démarche collaborative à l’IRISA/Inria
auteur
Elise Bannier, Simon Castellan, Steven Derrien, Francesca Galassi, Laurent Garnier, Ludovic Hoyet, Antoine l’Azou, Noé Lahaye, Marc J.-M. Macé, Olivier Martineau, Arthur Masson, Thomas Maugey, Benjamin Ninassi, Erven Rohou, Matthieu Simonin, François Taïani
article
Groupe de travail « missions » IRISA / Centre Inria de l’Université de Rennes. 2024, pp.1-16
Accès au texte intégral et bibtex
https://univ-rennes.hal.science/hal-04506138/file/DD_IRISA-Inria_RBA_synthesis.pdf BibTex

2023

Conference papers

titre
Rapid Prototyping of Complex Micro-architectures Through High-Level Synthesis
auteur
Sara Sadat Hoseininasab, Caroline Collange, Steven Derrien
article
ARC 2023 – 19th International Symposium on Applied Reconfigurable Computing, Sep 2023, Cottbus, Germany. pp.19 – 34, ⟨10.1007/978-3-031-42921-7_2⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04225360/file/51491c90-4301-4bdd-9c2e-25fed459fe67-30-45.pdf BibTex
titre
CAWET: Context-Aware Worst-Case Execution Time Estimation Using Transformers
auteur
Abderaouf N Amalou, Elisa Fromont, Isabelle Puaut
article
ECRTS 2023 – 35th Euromicro Conference on Real-Time Systems, Jul 2023, Vienne, Austria. pp.7:1–7:20, ⟨10.4230/LIPIcs.ECRTS.2023.7⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04148587/file/CAWET_ECRTS_LIPS.pdf BibTex
titre
SAMVA: Static Analysis for Multi-Fault Attack Paths Determination
auteur
Antoine Gicquel, Damien Hardy, Karine Heydemann, Erven Rohou
article
COSADE 2023 – 14th International Workshop on Constructive Side-Channel Analysis and Secure Design, Apr 2023, Munich (Allemagne), Germany. pp.3-22, ⟨10.1007/978-3-031-29497-6_1⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03980128/file/SAMVA___Cosade23-8.pdf BibTex
titre
Towards Sustainable IoT Nodes
auteur
Antoine Bernabeu, Hugo Reymond
article
GreenDays 2023 – Efficacité énergétique, impacts environnementaux du numérique, sobriété et frugalité numérique : une vision décloisonnée !, Mar 2023, Lyon, France. pp.1-39
Accès au bibtex
BibTex

Poster communications

titre
We had 64-bit, yes. What about second 64-bit?
auteur
Mathieu Bacou, Adam Chader, Chandana S. Deshpande, Christian Fabre, César Fuguet, Pierre Michaud, Arthur Perais, Frédéric Pétrot, Gaël Thomas, Eduardo Tomasi Ribeiro
article
RISC-V Summit Europe 2023, Jun 2023, Barcelona, Spain. 2023
Accès au bibtex
BibTex

Theses

titre
Machine learning for timing estimation
auteur
Abderaouf Nassim Amalou
article
Other [cs.OH]. Université de Rennes, 2023. English. ⟨NNT : 2023URENS087⟩
Accès au texte intégral et bibtex
https://hal.science/tel-04406029/file/AMALOU_Abderaouf.pdf BibTex
titre
Security enhancement in embedded hard real-time systems
auteur
Nicolas Bellec
article
Cryptography and Security [cs.CR]. Université de Rennes, 2023. English. ⟨NNT : 2023URENS029⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-04219240/file/BELLEC_Nicolas.pdf BibTex

2022

Journal articles

titre
HAIR: Halving the Area of the Integer Register File with Odd/Even Banking
auteur
Pierre Michaud, Anis Peysieux
article
ACM Transactions on Architecture and Code Optimization, 2022, 19 (4), pp.1-26. ⟨10.1145/3544838⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03740496/file/halhair.pdf BibTex

Conference papers

titre
CATREEN : Context-Aware Code Timing Estimation with Stacked Recurrent Networks
auteur
Abderaouf Amalou, Elisa Fromont, Isabelle Puaut
article
ICTAI 2022 – 34th IEEE International Conference on Tools with Artificial Intelligence, Oct 2022, Virtually, China. pp.1-6, ⟨10.1109/ICTAI56018.2022.00090⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03890057/file/CATREEN_Context-Aware_Code_Timing_Estimation_with_Stacked_Recurrent_Networks.pdf BibTex
titre
StAMP: Static Analysis of Memory access Profiles for real-time tasks
auteur
Théo Degioanni, Isabelle Puaut
article
WCET 2022 – 20th International Workshop on Worst-Case Execution Time Analysis, Jul 2022, Modena, Italy. ⟨10.4230/OASIcs.WCET.2022.1⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03723457/file/OASIcs-WCET-2022-1.pdf BibTex
titre
RT-DFI: Optimizing Data-Flow Integrity for Real-Time Systems
auteur
Nicolas Bellec, Guillaume Hiet, Simon Rokicki, Frédéric Tronel, Isabelle Puaut
article
ECRTS 2022 – 34th Euromicro Conference on Real-Time Systems, Jul 2022, Modène, Italy. pp.1-24, ⟨10.4230/LIPIcs.ECRTS.2022.18⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03641576/file/LIPIcs-ECRTS-2022-18.pdf BibTex
titre
Winston: Revisiting iterative compilation for WCET minimization
auteur
Valentin Pasquale, Isabelle Puaut
article
RTNS 2022 – 30th International Conference on Real-Time Networks and Systems, Jun 2022, Paris, France. pp.1-11, ⟨10.1145/3534879.3534899⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03673668/file/Winston.pdf BibTex

Poster communications

titre
Machine learning for timing estimation
auteur
Abderaouf Nassim Amalou, Elisa Fromont, Isabelle Puaut
article
D3 – Architecture séminaire: PhD days / Journées doctorants – 2022, Nov 2022, Rennes, France
Accès au texte intégral et bibtex
https://hal.science/hal-04260161/file/Poster_AMALOU_DKM_vf4.pdf BibTex
titre
Memory Allocation in Intermittent Computing
auteur
Hugo Reymond, Isabelle Puaut, Erven Rohou, Sébastien Faucou, Jean-Luc Béchennec, Mikaël Briday
article
COMPAS’2022, Jul 2022, Amiens, France
Accès au texte intégral et bibtex
https://hal.science/hal-04385204/file/poster_reymond.pdf BibTex

Theses

titre
Analyse et optimisation dynamiques de programmes au format binaire pour la cybersécurité
auteur
Camille Le Bon
article
Cryptographie et sécurité [cs.CR]. Université Rennes 1, 2022. Français. ⟨NNT : 2022REN1S044⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03906421/file/LE_BON_Camille.pdf BibTex
titre
Spéculation temporelle pour accélérateurs matériels
auteur
Thibaut Marty
article
Architectures Matérielles [cs.AR]. Université de Rennes, 2022. Français. ⟨NNT : 2022REN1S052⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03927199/file/MARTY_Thibaut.pdf BibTex

Preprints, Working Papers, …

titre
CATREEN: Context-Aware Code Timing Estimation with Stacked Recurrent Networks
auteur
Abderaouf Nassim Amalou, Élisa Fromont, Isabelle Puaut
article
2022
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03776508/file/CATREEN_at_ICTAI_fullVersion.pdf BibTex

2021

Journal articles

titre
Understanding Cache Compression
auteur
Daniel Rodrigues Carvalho, André Seznec
article
ACM Transactions on Architecture and Code Optimization, 2021, 18 (3), pp.1-27. ⟨10.1145/3457207⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03285041/file/Rodrigues%20Carvalho-2021-Understanding%20Cache%20Compression.pdf BibTex
titre
Leveraging Value Equality Prediction for Value Speculation
auteur
Kleovoulos Kalaitzidis, André Seznec
article
ACM Transactions on Architecture and Code Optimization, 2021, 18 (1), pp.1-20. ⟨10.1145/3436821⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03097413/file/hal-03097413.pdf BibTex

Conference papers

titre
So Far So Good: Self-Adaptive Dynamic Checkpointing for Intermittent Computation based on Self-Modifying Code
auteur
Bahram Yarahmadi, Erven Rohou
article
SCOPES 2021 – 24th International Workshop on Software and Compilers for Embedded Systems, Nov 2021, Eindhoven (virtual), Netherlands. pp.1-7
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03410647/file/SFSG.pdf BibTex
titre
Conciliating Speed and Efficiency on Cache Compressors
auteur
Daniel Rodrigues Carvalho, André Seznec
article
ICCD 2021 – 39th IEEE International Conference on Computer Design, Oct 2021, Virtual, United States. pp.1-5
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03354883/file/Conciliating_Speed_and_Efficiency_on_Cache_Compressors_Short.pdf BibTex
titre
DAMAS: Control-Data Isolation at Runtime through Dynamic Binary Modification
auteur
Camille Le Bon, Erven Rohou, Frédéric Tronel, Guillaume Hiet
article
SILM 2021 – Workshop on the Security of Software / Hardware Interfaces, Sep 2021, digital event, Austria. pp.86-95, ⟨10.1109/EuroSPW54576.2021.00016⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03340008/file/47.pdf BibTex
titre
WE-HML: hybrid WCET estimation using machine learning for architectures with caches
auteur
Abderaouf Nassim Amalou, Isabelle Puaut, Gilles Muller
article
RTCSA 2021 – 27th IEEE International Conference on Embedded Real-Time Computing Systems and Applications, Aug 2021, Online Virtual Conference, France. pp.1-10
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03280177/file/2021109859.pdf BibTex
titre
Scheduling paths leveraging dynamic information in SIMT architectures
auteur
Lily Blanleuil, Caroline Collange
article
COMPAS 2021 – Conférence francophone d’informatique en Parallélisme, Architecture et Système, Jul 2021, Lyon / Virtual, France. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03269966/file/las_compass2021.pdf BibTex
titre
A Case for Partial Co-Allocation Constraints in Compressed Caches
auteur
Daniel Rodrigues Carvalho, André Seznec
article
SAMOS XXI 2021 – International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, Jul 2021, Samos, Greece. pp.1-13
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03284824/file/Samos-2021.pdf BibTex
titre
TRAITOR: A Low-Cost Evaluation Platform for Multifault Injection
auteur
Ludovic Claudepierre, Pierre-Yves Péneau, Damien Hardy, Erven Rohou
article
ASSS ’21: Proceedings of the 2021 International Symposium on Advanced Security on Software and Systems, Jun 2021, Virtual Event Hong Kong, Hong Kong SAR China. pp.51-56, ⟨10.1145/3457340.3458303⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03266561/file/claudepierre2020traitor.pdf BibTex

Reports

titre
Deliverable D2.3 – Illustration of system reconfiguration due to varying conditions: same-island, and migration
auteur
Erven Rohou
article
[Research Report] Inria Rennes Bretagne Atlantique. 2021, pp.1-13
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03372263/file/Deliverable.pdf BibTex

Theses

titre
Static and dynamic compiler support for intermittently powered computer systems
auteur
Bahram Yarahmadi
article
Programming Languages [cs.PL]. Université Rennes 1, 2021. English. ⟨NNT : 2021REN1S026⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-03280004/file/YARAHMADI_Bahram.pdf BibTex
titre
Towards Compression At All Levels In The Memory Hierarchy
auteur
Daniel Rodrigues Carvalho
article
Other [cs.OH]. Université de Rennes 1, 2021. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-03454941/file/RODRIGUES_CARVALHO_Daniel.pdf BibTex

Preprints, Working Papers, …

titre
The gem5 Simulator: Version 20.0+
auteur
Jason Lowe-Power, Abdul Mutaal Ahmad, Adria Armejach, Adrian Herrera, Alec Roelke, Amin Farmahini-Farahani, Andrea Mondelli, Andreas Hansson, Andreas Sandberg, Anthony Gutierrez, Austin Harris, Ayaz Akram, Bagus Hanindhito, Binh Pham, Bobby R Bruce, Boris Shingarov, Brad Beckmann, Carlos Escuin, Christian Menard, Christian Weis, Daniel Rodrigues Carvalho, David A Wood, Dibakar Gope, Éder F Zulian, Gabe Black, Gedare Bloom, Giacomo Travaglini, Hamidreza Khaleghzadeh, Hanhwi Jang, Hoa Nguyen, Hongil Yoon, Ilias Vougioukas, Javier Setoain, Jayneel Gandhi, Jeronimo Castrillon, Krishnendra Nathella, Lena E Olson, Lizhong Chen, Mahyar Samani, Marc Orr, Marjan Fariborz, Matteo Andreozzi, Matthew D Sinclair, Matthew Horsnell, Matthias Jung, Michael Upton, Miquel Moreto, Mohammad Alian, Nicolas Derumigny, Nikos Nikoleris, Nilay Vaish, Nils Asmussen, Norbert Wehn, Omar Naji, Pablo Prieto, Pouya Fotouhi, Radhika Jagtap, Rahul Thakur, Raza Jafri, Reiley Jeyapaul, Rico Amslinger, Ryan Gambord, Srikant Bharadwaj, Stephan Diestelhorst, Subash Kannoth, Swapnil Haria, Syed Ali, Thomas Grass, Tiago Mück, Timothy Hayes, Timothy M Jones, Tommaso Marinelli, Trivikram Reddy, Tuan Ta, Tushar Krishna, Wendy Elsasser, William Wang, Yuetsu Kodama, Zhengrong Wang
article
2021
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03100818/file/main.pdf BibTex

2020

Journal articles

titre
IR-Level Dynamic Data Dependence Using Abstract Interpretation Towards Speculative Parallelization
auteur
Rasha Omar, Ahmed El-Mahdy, Erven Rohou
article
IEEE Access, 2020, 8, pp.99910-99921. ⟨10.1109/ACCESS.2020.2997715⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02913838/file/Omar-2020-IEEEACCESS.pdf BibTex
titre
SIMT-X: Extending Single-Instruction Multi-Threading to Out-of-Order Cores
auteur
Anita Tino, Caroline Collange, André Seznec
article
ACM Transactions on Architecture and Code Optimization, 2020, 17 (2), pp.15. ⟨10.1145/3392032⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02542333/file/Tino_SIMT-X_TACO20.pdf BibTex
titre
Binary-level data dependence analysis of hot execution regions using abstract interpretation at runtime
auteur
Rasha Omar, Mostafa Abbas, Ahmed El-Mahdy, Erven Rohou
article
PLoS ONE, 2020, 15 (4), pp.1-20. ⟨10.1371/journal.pone.0230904⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02913722/file/Omar-2020-PLOS-ONE.pdf BibTex
titre
Exploiting Thermal Transients With Deterministic Turbo Clock Frequency
auteur
Pierre Michaud
article
IEEE Computer Architecture Letters, 2020, 19 (1), pp.43-46. ⟨10.1109/LCA.2020.2983920⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02562105/file/turbo_hal.pdf BibTex

Conference papers

titre
NOP-Oriented Programming: Should we Care?
auteur
Pierre-Yves Péneau, Ludovic Claudepierre, Damien Hardy, Erven Rohou
article
Sécurité des Interfaces Logiciel/Matériel, Sep 2020, Genoa (virtual), Italy. ⟨10.1109/EuroSPW51379.2020.00100⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02912301/file/silm_workshop_2020.pdf BibTex
titre
Attack detection through monitoring of timing deviations in embedded real-time systems
auteur
Nicolas Bellec, Simon Rokicki, Isabelle Puaut
article
ECRTS 2020 – 32nd Euromicro Conference on Real-Time Systems, Jul 2020, Modena, Italy. pp.1-22, ⟨10.4230/LIPIcs.ECRTS.2020.8⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02559549/file/ECRTS_2020_paper.pdf BibTex
titre
Dynamic Interference-Sensitive Run-time Adaptation of Time-Triggered Schedules
auteur
Stefanos Skalistis, Angeliki Kritikakou
article
ECRTS 2020 – 32nd Euromicro Conference on Real-Time Systems, Jul 2020, Virtual, France. pp.1-22, ⟨10.4230/LIPIcs.ECRTS.2020.4⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02927451/file/LIPIcs-ECRTS-2020-4.pdf BibTex
titre
Compiler Optimizations for Safe Insertion of Checkpoints in Intermittently Powered Systems
auteur
Bahram Yarahmadi, Erven Rohou
article
SAMOS 2020 – International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, Jul 2020, Virtual, Greece. pp.1-16, ⟨10.1007/978-3-030-60939-9_12⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02914953/file/Yarahmadi2020-SAMOS.pdf BibTex
titre
PIPS: Prefetching Instructions with Probabilistic Scouts
auteur
Pierre Michaud
article
IPC-1 – First Instruction Prefetching Championship, May 2020, Valencia, Spain. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02861614/file/pips_final.pdf BibTex
titre
The FNL+MMA Instruction Cache Prefetcher
auteur
André Seznec
article
IPC-1 – First Instruction Prefetching Championship, May 2020, Valence, Spain. pp.1-5
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02884880/file/FNL%2BMMA-final.pdf BibTex
titre
Approximate Data Dependence Profiling based on Abstract Interval and Congruent Domains
auteur
Mostafa Abbas, Rasha Omar, Ahmed El-Mahdy, Erven Rohou
article
ARCS 2020 – 33rd International Conference on Architecture of Computing Systems, May 2020, Aachen (virtual), Germany. pp.3-16, ⟨10.1007/978-3-030-52794-5_1⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02914569/file/ARCS2020_Abbas.pdf BibTex
titre
Exploring value prediction limits
auteur
André Seznec, Kleovoulos Kalaitzidis
article
CVP 2020 – Championship Value Prediction, Feb 2020, Los-Angeles, United States. pp.1-5
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02884853/file/CVP2020.pdf BibTex

Reports

titre
Ofast3D – Étude de faisabilité
auteur
Damien Hardy
article
[Rapport Technique] RT-0511, Inria Rennes – Bretagne Atlantique; IRISA. 2020, pp.18
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03093905/file/RT-0511.pdf BibTex

Theses

titre
Compressed cache layout aware prefetching
auteur
Niloofar Charmchi
article
Performance [cs.PF]. Université Rennes 1, 2020. English. ⟨NNT : 2020REN1S017⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03099313/file/CHARMCHI_Niloofar.pdf BibTex
titre
Advanced speculation to increase the performance of superscalar processors
auteur
Kleovoulos Kalaitzidis
article
Performance [cs.PF]. Université Rennes 1, 2020. English. ⟨NNT : 2020REN1S007⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03033709/file/KALAITZIDIS_Kleovoulos.pdf BibTex

2019

Journal articles

titre
Guided just-in-time specialization
auteur
Caio Lima, Junio Cezar, Guilherme Vieira Leobas, Erven Rohou, Fernando Magno Quintão Pereira
article
Science of Computer Programming, 2019, 185, pp.41. ⟨10.1016/j.scico.2019.102318⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02314442/file/SCICO.pdf BibTex
titre
Worst-Case Execution-Time-Aware Parallelization of Model-Based Avionics Applications
auteur
Simon Reder, Fabian Kempf, Harald Bucher, Jurgen Becker, Panayiotis Alefragis, Nikolaos S. Voros, Stefanos Skalistis, Steven Derrien, Isabelle Puaut, Oliver Oey, Timo Stripf, Christian Ferdinand, Clément David, Peer Ulbig, David Mueller, Umut Durak
article
Journal of Aerospace Information Systems, 2019, 16 (11), pp.521-533. ⟨10.2514/1.I010749⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02383381/file/ARGO_JAIS_preprint.pdf BibTex
titre
A framework for automatic and parameterizable memoization
auteur
Loïc Besnard, Pedro Pinto, Imane Lasri, João Bispo, Erven Rohou, João Manuel Paiva Cardoso
article
SoftwareX, 2019, 10, pp.100322. ⟨10.1016/j.softx.2019.100322⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02305415/file/memoization_softwarex.pdf BibTex
titre
The ANTAREX domain specific language for high performance computing
auteur
Cristina Silvano, Giovanni Agosta, Andrea Bartolini, Andrea R. Beccari, Luca Benini, Loïc Besnard, João Bispo, Radim Cmar, João M. P. Cardoso, Carlo Cavazzoni, Daniele Cesarini, Stefano Cherubin, Federico Ficarelli, Davide Gadioli, Martin Golasowski, Antonio Libri, Jan Martinovič, Gianluca Palermo, Pedro Pinto, Erven Rohou, Kateřina Slaninová, Emanuele Vitali
article
Microprocessors and Microsystems: Embedded Hardware Design , 2019, 68, pp.58-73. ⟨10.1016/j.micpro.2019.05.005⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02189586/file/MICPRO-ANTAREX-2019.pdf BibTex
titre
Towards Automatic Binary Runtime Loop De-Parallelization using On-Stack Replacement
auteur
Marwa Yusuf, Ahmed El-Mahdy, Erven Rohou
article
Information Processing Letters, 2019, 145, pp.53-57. ⟨10.1016/j.ipl.2019.01.009⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02002812/file/IPL-Yusuf.pdf BibTex
titre
Runtime On-Stack Parallelization of Dependence-Free For-Loops in Binary Programs
auteur
Marwa Yusuf, Ahmed El-Mahdy, Erven Rohou
article
IEEE Letters of the Computer Society, 2019, 2 (1), pp.1-4. ⟨10.1109/LOCS.2019.2896559⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02061340/file/LOCS_binary_parallelization.pdf BibTex
titre
Cache-conscious Off-Line Real-Time Scheduling for Multi-Core Platforms: Algorithms and Implementation
auteur
Viet Anh Nguyen, Damien Hardy, Isabelle Puaut
article
Real-Time Systems, In press, pp.1-37. ⟨10.4230/LIPIcs.ECRTS.2017.14⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02044110/file/paper.pdf BibTex

Conference papers

titre
Timely Fine-grained Interference-sensitive Run-time Adaptation of Time-triggered Schedules
auteur
Stefanos Skalistis, Angeliki Kritikakou
article
RTSS 2019 – 40th IEEE Real-Time Systems Symposium, Dec 2019, Hong Kong, China. pp.1-13
Accès au texte intégral et bibtex
https://hal.science/hal-02316392/file/Timely_Fine_grained_Interference_sensitive_Run_time_Adaptation_of_Time_triggered_Schedules.pdf BibTex
titre
Reconciling Compiler Optimizations and WCET Estimation Using Iterative Compilation
auteur
Mickaël Dardaillon, Stefanos Skalistis, Isabelle Puaut, Steven Derrien
article
RTSS 2019 – 40th IEEE Real-Time Systems Symposium, Dec 2019, Hong Kong, China. pp.1-13
Accès au texte intégral et bibtex
https://hal.science/hal-02286164/file/RTSS_2019.pdf BibTex
titre
Value Speculation through Equality Prediction
auteur
Kleovoulos Kalaitzidis, André Seznec
article
ICCD 2019 – 37th IEEE International Conference on Computer Design, Nov 2019, Abu Dhabi, United Arab Emirates. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-02383480/file/iccd_IEEE.pdf BibTex
titre
Qubit allocation as a combination of subgraph isomorphism and token swapping
auteur
Marcos Yukio Siraichi, Vinicius Fernandes Dos Santos, Caroline Collange, Fernando Magno Quintão Pereira
article
OOPSLA, Oct 2019, Athens, Greece. pp.1-29, ⟨10.1145/3360546⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02316820/file/Siraichi_QubitAlloc_OOPSLA19.pdf BibTex
titre
Compressed cache layout aware prefetching
auteur
Niloofar Charmchi, Caroline Collange, André Seznec
article
SBAC-PAD 2019 – International Symposium on Computer Architecture and High Performance Computing, Oct 2019, Campo Grande, MS, Brazil. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02316773/file/Charmchi_CLAP_SBAC19.pdf BibTex
titre
Hiding Communication Delays in Contention-Free Execution for SPM-Based Multi-Core Architectures
auteur
Benjamin Rouxel, Stefanos Skalistis, Steven Derrien, Isabelle Puaut
article
ECRTS 2019 – 31st Euromicro Conference on Real-Time Systems, Jul 2019, Stuttgart, Germany. pp.1-24, ⟨10.4230/LIPIcs.ECRTS.2019.25⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02190271/file/LIPIcs-ECRTS-2019-25.pdf BibTex
titre
Impact of DM-LRU on WCET: A Static Analysis Approach
auteur
Renato Mancuso, Heechul Yun, Isabelle Puaut
article
ECRTS 2019 – 31st Euromicro Conference on Real-Time Systems, Jul 2019, Stuttgart, Germany. pp.1-25, ⟨10.4230/LIPIcs.ECRTS.2019.17⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02190255/file/LIPIcs-ECRTS-2019-17.pdf BibTex
titre
Vers la reconfiguration adaptative de GPU pour chaque application
auteur
Alexandre Kouyoumdjian, Caroline Collange, Erven Rohou
article
COMPAS 2019 – Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02390821/file/Kouyoumdjian_ReconfigurationAdaptativeGPU_Compas19.pdf BibTex
titre
Toward compression-aware prefetching
auteur
Niloofar Charmchi, Caroline Collange
article
COMPAS 2019 – Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France. pp.1-9
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02351461/file/charmchi_cpxawareprefetch_compas19.pdf BibTex
titre
Worst-Case Energy Consumption Aware Compile-Time Checkpoint Placement for Energy Harvesting Systems
auteur
Bahram Yarahmadi, Erven Rohou
article
COMPAS19 – Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France. pp.11
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02913849/file/COMPAS.pdf BibTex
titre
Ordinateurs quantiques : ouvrons la boîte
auteur
Caroline Collange
article
COMPAS 2019 – Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France. pp.1-9
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02318324/file/Collange_Quantum_Compas19.pdf BibTex
titre
Plateforme de protection de binaires configurable et dynamiquement adaptative
auteur
Kévin Le Bon, Byron Hawkins, Erven Rohou, Guillaume Hiet, Frédéric Tronel
article
RESSI 2019 – Rendez-Vous de la Recherche et de l’Enseignement de la Sécurité des Systèmes d’Information, May 2019, Erquy, France. pp.1-3
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02385216/file/main.pdf BibTex
titre
A Time-predictable Branch Predictor
auteur
Martin Schoeberl, Benjamin Rouxel, Isabelle Puaut
article
SAC 2019 – 34th ACM/SIGAPP Symposium on Applied Computing, Apr 2019, Limassol, Cyprus. pp.1-10, ⟨10.1145/3297280.3297337⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01976187/file/branchpred.pdf BibTex
titre
Aggressive Memory Speculation in HW/SW Co-Designed Machines
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
DATE 2019 – 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.332-335, ⟨10.23919/DATE.2019.8715010⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01941876/file/rokicki_DATE_19_short.pdf BibTex
titre
Adaptive Optimization and Enforcement of Extra-Functional Properties in High Performance Computing: The ANTAREX Project
auteur
Cristina Silvano, Giovanni Agosta, Andrea Bartolini, Andrea R. Beccari, Luca Benini, Loïc Besnard, João Bispo, Radim Cmar, João M. P. Cardoso, Carlo Cavazzoni, Daniele Cesarini, Stefano Cherubin, Federico Ficarelli, Davide Gadioli, Martin Golasowski, Imane Lasri, Antonio Libri, Jan Martinovič, Gianluca Palermo, Pedro Pinto, Erven Rohou, Nico Sanna, Kateřina Slaninová, Emanuele Vitali
article
PDP 2019 – 27th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, Feb 2019, Pavia, Italy. pp.116-123, ⟨10.1109/EMPDP.2019.8671584⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02197811/file/preprint_PDP_2019.pdf BibTex

Poster communications

titre
Hybrid-DBT: Hardware Accelerated Dynamic Binary Translation
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
RISC-V 2019 – Workshop Zurich, Jun 2019, Zurich, Switzerland. pp.1
Accès au texte intégral et bibtex
https://hal.science/hal-02155019/file/Rokicki-Simon-CAIRN-45922.pdf BibTex

Reports

titre
Deliverable D5.1 – Technical description of the holistic design flow in CONTINUUM
auteur
Rabab Bouziane, Erven Rohou, Florent Bruguier, Guillaume Devic, Abdoulaye Gamatié, Guilherme Leobas, Marcelo Novaes, David Novo, Pierre-Yves Péneau, Fernando Magno Quintão Pereira, Gilles Sassatelli, Stefano Bernabovi, Michael Chapman, Philippe Naudin
article
[Research Report] Inria Rennes – Bretagne Atlantique; LIRMM (UM, CNRS); Cortus S.A.S. 2019
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03168363/file/D5.1.pdf BibTex
titre
A Simple Model of Processor Temperature for Deterministic Turbo Clock Frequency
auteur
Pierre Michaud
article
[Research Report] RR-9308, Inria. 2019
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02391970/file/RR-9308-v2.pdf BibTex

Theses

titre
Performance Centric Dynamic Function Level Binary Transformation
auteur
Arif Ali Anapparakkal
article
Other [cs.OH]. Université de Rennes 1 [UR1], 2019. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-02394383/file/Thesis_Arif_Dec_4_2019.pdf BibTex
titre
Transformation binaire de niveau de fonction dynamique axée sur les performances
auteur
Arif Ali Anapparakkal
article
Other [cs.OH]. Université de Rennes, 2019. English. ⟨NNT : 2019REN1S114⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02972362/file/ANAPPARAKKAL_Arif.pdf BibTex

2018

Journal articles

titre
Runtime, Speculative On-Stack Parallelization of For-Loops in Binary Programs
auteur
Marwa Yusuf, Ahmed El-Mahdy, Erven Rohou
article
IEEE Letters of the Computer Society, 2018, pp.1-4. ⟨10.1109/LOCS.2018.2872454⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01890719/file/binary_speculation_locs__Revision__final.pdf BibTex
titre
DITVA: Dynamic Inter-Thread Vectorization Architecture
auteur
Sajith Kalathingal, Caroline Collange, Bharath N Swamy, André Seznec
article
Journal of Parallel and Distributed Computing, 2018, pp.1-32. ⟨10.1016/j.jpdc.2017.11.006⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01655904/file/Kalathingal_DITVA_JPDC18%20%281%29.pdf BibTex
titre
Hybrid-DBT: Hardware/Software Dynamic Binary Translation Targeting VLIW
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, pp.1-14. ⟨10.1109/TCAD.2018.2864288⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01856163/file/rokicki_TCAD.pdf BibTex
titre
An Alternative TAGE-like Conditional Branch Predictor
auteur
Pierre Michaud
article
ACM Transactions on Architecture and Code Optimization, 2018, 15 (3), pp.1-24. ⟨10.1145/3226098⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01799442/file/halbatage.pdf BibTex

Conference papers

titre
Synergistic Cache Layout For Reuse and Compression
auteur
Biswabandan Panda, André Seznec
article
PACT ’18 – International conference on Parallel Architectures and Compilation Techniques, Nov 2018, Limassol, Cyprus. pp.1-13, ⟨10.1145/3243176.3243178⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01888880/file/PACT18-Biswabandan-2.pdf BibTex
titre
Cost Effective Speculation with the Omnipredictor
auteur
Arthur Perais, André Seznec
article
PACT ’18 – 27th International Conference on Parallel Architectures and Compilation Techniques, Nov 2018, Limassol, Cyprus. ⟨10.1145/3243176.3243208⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01888884/file/main_document.pdf BibTex
titre
Energy-Efficient Memory Mappings based on Partial WCET Analysis and Multi-Retention Time STT-RAM
auteur
Rabab Bouziane, Erven Rohou, Abdoulaye Gamatié
article
RTNS: Real-Time Networks and Systems, Oct 2018, Poitiers, France. pp.148-158, ⟨10.1145/3273905.3273908⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01871320/file/RTNS%2718.pdf BibTex
titre
MLExplain
auteur
Kévin Le Bon, Alan Schmitt
article
OCaml 2018, Sep 2018, Saint Louis, United States. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02056392/file/mlexplain.pdf BibTex
titre
ANTAREX: A DSL-based Approach to Adaptively Optimizing and Enforcing Extra-Functional Properties in High Performance Computing
auteur
Cristina Silvano, Giovanni Agosta, Andrea Bartolini, Andrea R. Beccari, Luca Benini, Loïc Besnard, João Bispo, Radim Cmar, Joao M. R. Cardoso, Carlo Cavazzoni, Stefano Cherubin, Davide Gadioli, Martin Golasowski, Imane Lasri, Jan Martinovič, Gianluca Palermo, Pedro Pinto, Erven Rohou, Nico Sanna, Kateřina Slaninová, Emanuele Vitali
article
DSD 2018 – 21st Euromicro Conference on Digital System Design, Aug 2018, Prague, Czech Republic. pp.1-8, ⟨10.1109/DSD.2018.00105⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01890152/file/DSD2018_ANTAREX.pdf BibTex
titre
FITTCHOOSER: A Dynamic Feedback-Based Fittest Optimization Chooser
auteur
Arif Ali Ap, Kévin Le Bon, Byron Hawkins, Erven Rohou
article
HPCS 2018 – 16th International Conference on High Performance Computing & Simulation – Special Session on Compiler Architecture, Design and Optimization, Jul 2018, Orléans, France. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01808658/file/cado.fc.pdf BibTex
titre
Partial Worst-Case Execution Time Analysis
auteur
Rabab Bouziane, Erven Rohou, Abdoulaye Gamatié
article
ComPAS: Conférence en Parallélisme, Architecture et Système, Jul 2018, Toulouse, France. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01803006/file/Compas_2018.pdf BibTex
titre
Fine-Grain Iterative Compilation for WCET Estimation
auteur
Isabelle Puaut, Mickaël Dardaillon, Christoph Cullmann, Gernot Gebhard, Steven Derrien
article
WCET 2018 – 18th International Workshop on Worst-Case Execution Time Analysis, Jul 2018, Barcelona, Spain. pp.1-12, ⟨10.4230/OASIcs.WCET.2018.9⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01889944/file/WCET_2018.pdf BibTex
titre
Exploring value prediction with the EVES predictor
auteur
André Seznec
article
CVP-1 2018 – 1st Championship Value Prediction, Jun 2018, Los Angeles, United States. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01888864/file/CVP1-Final.pdf BibTex
titre
Autotuning and Adaptivity in Energy Efficient HPC Systems: The ANTAREX Toolbox
auteur
Cristina Silvano, Gianluca Palermo, Giovanni Agosta, Amir H Ashouri, Davide Gadioli, Stefano Cherubin, Emanuele Vitali, Luca Benini, Andrea Bartolini, Daniele Cesarini, João Cardoso, João Bispo, Pedro Pinto, Riccardo Nobre, Erven Rohou, Loïc Besnard, Imane Lasri, Nico Sanna, Carlo Cavazzoni, Radim Cmar, Jan Martinovič, Kateřina Slaninová, Martin Golasowski, Andrea R. Beccari, Candida Manelfi
article
CF 2018 – 15th ACM International Conference on Computing Frontiers, May 2018, Ischia, Italy. pp.270-275, ⟨10.1145/3203217.3205338⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01932706/file/CF2018-ok.pdf BibTex
titre
Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
DATE 2018 – IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition, Mar 2018, Dresden, Germany. pp.1009-1014, ⟨10.23919/DATE.2018.8342160⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01653110/file/rokicki_18_hal.pdf BibTex
titre
Using Polyhedral Techniques to Tighten WCET Estimates of Optimized Code: A Case Study with Array Contraction
auteur
Thomas Lefeuvre, Emin Koray Kasnakli, Imen Fassi, Isabelle Puaut, Christoph Cullmann, Steven Derrien, Gernot Gebhard
article
DATE 2018 – Design Automation and Test Europe, Mar 2018, Dresden, Germany. pp.925-930, ⟨10.23919/DATE.2018.8342142⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01815499/file/DATE_2018.pdf BibTex
titre
Qubit Allocation
auteur
Marcos Yukio Siraichi, Vinicius Fernandes Dos Santos, Caroline Collange, Fernando Magno Quintão Pereira
article
CGO 2018 – International Symposium on Code Generation and Optimization, Feb 2018, Vienna, Austria. pp.1-12, ⟨10.1145/3168822⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01655951/file/Siraichi_QubitAllocation_CGO18.pdf BibTex
titre
Compile-Time Silent-Store Elimination for Energy Efficiency: an Analytic Evaluation for Non-Volatile Cache Memory
auteur
Rabab Bouziane, Erven Rohou, Abdoulaye Gamatié
article
RAPIDO: Rapid Simulation and Performance Evaluation, HiPEAC, Jan 2018, Manchester, United Kingdom. pp.1-8, ⟨10.1145/3180665.3180666⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01660686/file/RAPIDO%2718_paper7_.pdf BibTex

Poster communications

titre
The INRIA ZEP project: NVRAM and Harvesting for Zero Power Computations
auteur
Gautier Berthou, Arnaud Carer, Kevin Marquet, Ivan Miro-Panades, Davide Pala, Isabelle Puaut, Fabrice Rastello, Tanguy Risset, Erven Rohou, Guillaume Salagnac, Olivier Sentieys, Bharam Yarahmadi, Henri-Pierre Charles
article
NVMW 2018 – 10th Annual Non-Volatile Memories Workshop, Mar 2018, San Diego, United States. pp.1
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941766/file/ZEP-NVMW18.pdf BibTex

Software

titre
Software – ANR Continuum D2.3 – Illustration of system reconfiguration due to varying conditions: same-island, and migration
auteur
Erven Rohou
article
2018, ⟨swh:1:dir:ad6b75ab60ccb22c063e81cff8ec403086d4396e;origin=https://hal.archives-ouvertes.fr/hal-03375509;visit=swh:1:snp:8595e9bbbbc060c6373859bde55a56352bc6d42a;anchor=swh:1:rev:6063801811e8f607e9c715f186de95043b4594a8;path=/⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03375509/file/d2.3.tar.gz BibTex

Theses

titre
Minimising communication costs impact when scheduling real-time applications on multi-core architectures
auteur
Benjamin Rouxel
article
Computer Science [cs]. Université de Rennes 1, 2018. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01945456/file/these.pdf BibTex
titre
Software-level analysis and optimization to mitigate the cost of write operations on non-volatile memories
auteur
Rabab Bouziane
article
Performance [cs.PF]. Université de Rennes, 2018. English. ⟨NNT : 2018REN1S073⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02089718/file/BOUZIANE_Rabab.pdf BibTex
titre
Ordonnancement temps-réel conscient des caches dans des architectures multi-cœurs : algorithmes et réalisation
auteur
Viet Anh Nguyen
article
Architectures Matérielles [cs.AR]. Université de Rennes 1 [UR1], 2018. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01933422/file/ThesisNguyen.pdf BibTex

2017

Journal articles

titre
Tightening Contention Delays While Scheduling Parallel Applications on Multi-core Architectures
auteur
Benjamin Rouxel, Steven Derrien, Isabelle Puaut
article
ACM Transactions on Embedded Computing Systems (TECS), 2017, 16 (5s), pp.1 – 20. ⟨10.1145/3126496⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01655383/file/TECS_2017_HAL.pdf BibTex
titre
A Band-pass Prefetching : An Effective Prefetch Management Mechanism using Prefetch-fraction Metric in Multi-core Systems
auteur
Aswinkumar Sridharan, Biswabandan Panda, André Seznec
article
ACM Transactions on Architecture and Code Optimization, 2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01519648/file/Band-passPrefetching_CameraReady.pdf BibTex
titre
Runtime Vectorization Transformations of Binary Code
auteur
Nabil Hallou, Erven Rohou, Philippe Clauss
article
International Journal of Parallel Programming, 2017, 8 (6), pp.1536 – 1565. ⟨10.1007/s10766-016-0480-z⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01593216/file/DynamicRevectorizationExtended.pdf BibTex
titre
On the Interactions Between Value Prediction and Compiler Optimizations in the Context of EOLE
auteur
Fernando Endo, Arthur Perais, André Seznec
article
ACM Transactions on Architecture and Code Optimization, 2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01519869/file/article-7.pdf BibTex
titre
Dynamic and Discrete Cache Insertion Policies for Managing Shared Last Level Caches in Large Multicores
auteur
Aswinkumar Sridharan, André Seznec
article
Journal of Parallel and Distributed Computing, 2017, 106, pp.215-226. ⟨10.1016/j.jpdc.2017.02.004⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01519650/file/procs-template%20%281%29.pdf BibTex

Conference papers

titre
How Could Compile-Time Program Analysis help Leveraging Emerging NVM Features?
auteur
Rabab Bouziane, Erven Rohou, Abdoulaye Gamatié
article
EDiS: Embedded and Distributed Systems, Dec 2017, Oran, Algeria. pp.1-6, ⟨10.1109/EDIS.2017.8284031⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01655195/file/EDIS%2717_paper9.pdf BibTex
titre
Tightening contention delays while scheduling parallel applications on multi-core architectures
auteur
Benjamin Rouxel, Steven Derrien, Isabelle Puaut
article
International Conference on Embedded Software (EMSOFT), 2017, Oct 2017, Seoul, South Korea. pp.20, ⟨10.1145/3126496⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590508/file/EMSOFT2017_HAL.pdf BibTex
titre
Simty: generalized SIMT execution on RISC-V
auteur
Caroline Collange
article
CARRV 2017 – 1st Workshop on Computer Architecture Research with RISC-V, Oct 2017, Boston, United States. pp.6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01622208/file/collange_simty_carrv17.pdf BibTex
titre
Quantifying WCET reduction of parallel applications by introducing slack time to limit resource contention
auteur
Sebastien Martinez, Damien Hardy, Isabelle Puaut
article
International Conference on Real-Time Networks and Systems (RTNS), 2017, Oct 2017, Grenoble, France. ⟨10.475/123_4⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590532/file/RTNS_HAL.pdf BibTex
titre
Implications of Reduced-Precision Computations in HPC: Performance, Energy and Error
auteur
Stefano Cherubin, Giovanni Agosta, Imane Lasri, Erven Rohou, Olivier Sentieys
article
International Conference on Parallel Computing (ParCo), Sep 2017, Bologna, Italy
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633790/file/ParCo_final.pdf BibTex
titre
Dynamic Function Specialization
auteur
Arif Ali Ap, Erven Rohou
article
International Conference on Embedded Computer Systems: Architectures, MOdeling and Simulation, Jul 2017, Pythagorion, Samos, Greece. pp.8, ⟨10.1109/SAMOS.2017.8344624⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01597880/file/SAMOS_2017.pdf BibTex
titre
The ANTAREX Tool Flow for Monitoring and Autotuning Energy Efficient HPC Systems
auteur
Cristina Silvano, Andrea Bartolini, Andrea Beccari, Candida Manelfi, Carlo Cavazzoni, Davide Gadioli, Erven Rohou, Gianluca Palermo, Giovanni Agosta, Jan Martinovič, João Bispo, João M. P. Cardoso, Jorge Barbosa, Kateřina Slaninová, Luca Benini, Martin Palkovič, Nico Sanna, Pedro Pinto, Radim Cmar, Ricardo Nobre, Stefano Cherubin
article
SAMOS 2017 – International Conference on Embedded Computer Systems: Architecture, Modeling and Simulation, Jul 2017, Pythagorion, Greece
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01615945/file/samos2017.pdf BibTex
titre
The W-SEPT Project: Towards Semantic-Aware WCET Estimation
auteur
Claire Maiza, Pascal Raymond, Catherine Parent-Vigouroux, Armelle Bonenfant, Fabienne Carrier, Hugues Cassé, Philippe Cuenot, Denis Claraz, Nicolas Halbwachs, Erwan Jahier, Hanbing Li, Marianne de Michiel, Vincent Mussot, Isabelle Puaut, Christine Rochange, Erven Rohou, Jordy Ruiz, Pascal Sotin, Wei-Tsun Sun
article
17th International Workshop on Worst-Case Execution Time Analysis (WCET 2017), Jun 2017, Dubrovnik, Croatia. pp.13, ⟨10.4230/OASIcs.WCET.2017.9⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590442/file/WCET_2017_WSEPT.pdf BibTex
titre
Parcours par liste de chemins : une nouvelle classe de mécanismes de suivi de flot SIMT
auteur
Caroline Collange, Nicolas Brunie
article
ComPAS 2017 – Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2017, Sophia Antipolis, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01522901/file/CollangeBrunie_ListeChemins_Compas17.pdf BibTex
titre
The Heptane Static Worst-Case Execution Time Estimation Tool
auteur
Damien Hardy, Benjamin Rouxel, Isabelle Puaut
article
17th International Workshop on Worst-Case Execution Time Analysis (WCET 2017), Jun 2017, Dubrovnik, Croatia. pp.12, ⟨10.4230/OASIcs.WCET.2017.8⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590444/file/WCET_2017_Heptane.pdf BibTex
titre
STR2RTS: Refactored StreamIT benchmarks into statically analyzable parallel benchmarks for WCET estimation & real-time scheduling
auteur
Benjamin Rouxel, Isabelle Puaut
article
17th International Workshop on Worst-Case Execution Time Analysis (WCET 2017), Jun 2017, Dubrovnik, Croatia. ⟨10.4230/OASIcs.WCET.2017.1⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590446/file/WCET_2017_Benjamin.pdf BibTex
titre
Cache-conscious offline real-time task scheduling for multi-core processors
auteur
Viet Anh Anh Nguyen, Damien Hardy, Isabelle Puaut
article
29th Euromicro Conference on Real-Time Systems (ECRTS17), Jun 2017, Dubrovnik, Croatia. ⟨10.4230/LIPIcs.ECRTS.2017.14⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590421/file/Nguyen.pdf BibTex
titre
Hardware-Accelerated Dynamic Binary Translation
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01423639/file/rokicki_DATE_cr.pdf BibTex
titre
WCET-aware parallelization of model-based applications for multi-cores: The ARGO approach
auteur
Steven Derrien, Isabelle Puaut, Panayiotis Alefragis, Marcus Bednara, Harald Bucher, Clément David, Yann Debray, Umut Durak, Imen Fassi, Christian Ferdinand, Damien Hardy, Angeliki Kritikakou, Gerard Rauwerda, Simon Reder, Martin Sicks, Timo Stripf, Kim Sunesen, Timon ter Braak, Nikolaos Voros, Jürgen Becker
article
Design Automation and Test in Europe (DATE), 2017, Mar 2017, Lausanne, Switzerland. pp.286 – 289, ⟨10.23919/DATE.2017.7927000⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590418/file/DATE_final.pdf BibTex
titre
Compile-Time Function Memoization
auteur
Arjun Suresh, Erven Rohou, André Seznec
article
26th International Conference on Compiler Construction, Feb 2017, Austin, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01423811/file/memo.pdf BibTex
titre
Function Call Re-Vectorization
auteur
Rubens E A Moreira, Caroline Collange, Fernando Magno Quintão Pereira
article
ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP), Feb 2017, Austin, Texas, United States. ⟨10.1145/3018743.3018751⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01410186/file/Moreira_CallRevectorization_PPoPP17%20%281%29.pdf BibTex

Reports

titre
Deliverable D2.2 – Description of a specific optimization for low power
auteur
Rabab Bouziane, Erven Rohou, Abdoulaye Gamatié
article
[Research Report] Inria Rennes – Bretagne Atlantique; LIRMM (UM, CNRS). 2017
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03168308/file/D2.2.pdf BibTex
titre
Deliverable D3.2 – Evaluation of selected memory and communication technologies and exploitation opportunities in compilation and runtime management
auteur
Florent Bruguier, Thibaud Delobelle, Charles Emmanuel Effiong, Abdoulaye Gamatié, Pierre-Yves Péneau, Gilles Sassatelli, Sophiane Senni, Lionel Torres, Erven Rohou
article
[Research Report] LIRMM (UM, CNRS); Inria Rennes – Bretagne Atlantique. 2017
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03168318/file/D3.2.pdf BibTex
titre
Path list traversal: a new class of SIMT flow tracking mechanisms
auteur
Caroline Collange, Nicolas Brunie
article
[Research Report] RR-9073, Inria Rennes – Bretagne Atlantique. 2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01533085/file/RR-9073.pdf BibTex

Theses

titre
Runtime optimization of binary through vectorization transformations
auteur
Nabil Hallou
article
Computer Arithmetic. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S120⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01795489/file/HALLOU_Nabil.pdf BibTex
titre
Revisiting Wide Superscalar Microarchitecture
auteur
Andrea Mondelli
article
Hardware Architecture [cs.AR]. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S054⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01597752/file/MONDELLI_Andrea.pdf BibTex

2016

Journal articles

titre
Some mathematical facts about optimal cache replacement
auteur
Pierre Michaud
article
ACM Transactions on Architecture and Code Optimization, 2016, 13 (4), ⟨10.1145/3017992⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01411156/file/halopt.pdf BibTex
titre
Storage-Free Memory Dependency Prediction
auteur
Arthur Perais, André Seznec
article
IEEE Computer Architecture Letters, 2016, pp.1 – 4. ⟨10.1109/LCA.2016.2628379⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01396985/file/cal_preprint.pdf BibTex
titre
Yet Another Compressed Cache: a Low Cost Yet Effective Compressed Cache
auteur
Somayeh Sardashti, André Seznec, David A. Wood
article
ACM Transactions on Architecture and Code Optimization, 2016, 13, pp.1-25. ⟨10.1145/2976740⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354248/file/yacc-taco-july%2022-final.pdf BibTex

Conference papers

titre
Dynamic Inter-Thread Vectorization Architecture: extracting DLP from TLP
auteur
Sajith Kalathingal, Caroline Collange, Bharath Narasimha Swamy, André Seznec, Bharath N Swamy
article
International Symposium on Computer Architecture and High-Performance Computing (SBAC-PAD), Oct 2016, Los Angeles, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01356202/file/Kalathingal_DITVA_SBAC16.pdf BibTex
titre
Register Sharing for Equality Prediction
auteur
Arthur Perais, Fernando A. Endo, André Seznec
article
International Symposium on Microarchitecture, Oct 2016, Taipei, Taiwan
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354267/file/bare_conf.pdf BibTex
titre
Dictionary Sharing: An Efficient Cache Compression Scheme for Compressed Caches
auteur
Biswabandan Panda, André Seznec
article
MICRO 2016 – 49th Annual IEEE/ACM International Symposium on Microarchitecture, IEEE/ACM, Oct 2016, Taipei, Taiwan
Accès au texte intégral et bibtex
https://hal.science/hal-01354246/file/MICRO16.pdf BibTex
titre
Loop Optimization in Presence of STT-MRAM Caches: a Study of Performance-Energy Tradeoffs
auteur
Pierre-Yves Péneau, Rabab Bouziane, Abdoulaye Gamatié, Erven Rohou, Florent Bruguier, Gilles Sassatelli, Lionel Torres, Sophiane Senni
article
PATMOS: Power and Timing Modeling, Optimization and Simulation, Sep 2016, Bremen, Germany. pp.162-169, ⟨10.1109/PATMOS.2016.7833682⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01347354/file/NVRAM.pdf BibTex
titre
Parallel floating-point expansions for extended-precision GPU computations
auteur
Caroline Collange, Mioara Joldes, Jean-Michel Muller, Valentina Popescu
article
The 27th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), Jul 2016, London, United Kingdom
Accès au texte intégral et bibtex
https://hal.science/hal-01298206/file/Popescu_ParallelFPExpansionsGPU_ASAP16.pdf BibTex
titre
Hybrid-JIT : Compilateur JIT Matériel/Logiciel pour les Processeurs VLIW Embarqués
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
Conférence d’informatique en Parallélisme, Architecture et Système (Compas), Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/hal-01345306/file/Compass_16_final.pdf BibTex
titre
Cache-Persistence-Aware Response-Time Analysis for Fixed-Priority Preemptive Systems
auteur
Syed Aftab Rashid, Geoffrey Nelissen, Damien Hardy, Benny Akesson, Isabelle Puaut, Eduardo Tovar
article
28th Euromicro Conference on Real-Time Systems (ECRTS), Jul 2016, Toulouse, France. ⟨10.1109/ECRTS.2016.25⟩
Accès au bibtex
BibTex
titre
Un processeur SIMT généraliste synthétisable
auteur
Caroline Collange
article
Compas 2016 – Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01345070/file/Collange_Simty_Compas16.pdf BibTex
titre
TAGE-SC-L Branch Predictors Again
auteur
André Seznec
article
5th JILP Workshop on Computer Architecture Competitions (JWAC-5): Championship Branch Prediction (CBP-5), Jun 2016, Seoul, South Korea
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354253/file/CBP2016-TAGE-SC-L%20.pdf BibTex
titre
Exploring branch predictability limits with the MTAGE+SC predictor *
auteur
André Seznec
article
5th JILP Workshop on Computer Architecture Competitions (JWAC-5): Championship Branch Prediction (CBP-5), Jun 2016, Seoul, South Korea. pp.4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354251/file/MutiTage-SC.pdf BibTex
titre
The ANTAREX Approach to Autotuning and Adaptivity for Energy Efficient HPC Systems
auteur
Cristina Silvano, Giovanni Agosta, Stefano Cherubin, Davide Gadioli, Gianluca Palermo, Andrea Bartolini, Luca Benini, Jan Martinovič, Martin Palkovič, Kateřina Slaninová, João Bispo, João M. P. Cardoso, Rui Abreu, Pedro Pinto, Carlo Cavazzoni, Nico Sanna, Andrea R. Beccari, Radim Cmar, Erven Rohou
article
ACM International Conference on Computing Frontiers 2016, May 2016, Como, Italy. ⟨10.1145/2903150.2903470⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01341826/file/cf2016.pdf BibTex
titre
Probabilistic WCET estimation in presence of hardware for mitigating the impact of permanent faults
auteur
Damien Hardy, Isabelle Puaut, Yiannakis Sazeides
article
Design, Automation and Test in Europe, Mar 2016, Dresden, Germany
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01259493/file/final.pdf BibTex

Reports

titre
Deliverable D2.1 – Report on selected relevant metrics: design and implementation choice
auteur
Rabab Bouziane, Erven Rohou
article
[Research Report] Inria Rennes – Bretagne Atlantique. 2016
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03168302/file/D2.1.pdf BibTex
titre
Simty: a Synthesizable General-Purpose SIMT Processor
auteur
Caroline Collange
article
[Research Report] RR-8944, Inria Rennes Bretagne Atlantique. 2016
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01351689/file/RR-8944.pdf BibTex

Theses

titre
Adaptive and Intelligent Memory Systems
auteur
Aswinkumar Sridharan
article
Hardware Architecture [cs.AR]. INRIA Rennes – Bretagne Atlantique and University of Rennes 1, France, 2016. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01442465/file/SRIDHARAN_Aswinkumar.pdf BibTex

2015

Journal articles

titre
Numerical Reproducibility for the Parallel Reduction on Multi- and Many-Core Architectures
auteur
Caroline Collange, David Defour, Stef Graillat, Roman Iakymchuk
article
Parallel Computing, 2015, 49, pp.83-97. ⟨10.1016/j.parco.2015.09.001⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01206348/file/superaccumulator.pdf BibTex

Conference papers

titre
Fusion of calling sites
auteur
Douglas Do Couto Teixeira, Caroline Collange, Fernando Magno Quintão Pereira
article
International Symposium on Computer Architecture and High-Performance Computing (SBAC-PAD), Oct 2015, Florianópolis, Santa Catarina, Brazil. ⟨10.1109/SBAC-PAD.2015.16⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01410221/file/doCouto_FusionCallingSites_SBAC15.pdf BibTex

Reports

titre
Transforming TLP into DLP with the Dynamic Inter-Thread Vectorization Architecture
auteur
Sajith Kalathingal, Caroline Collange, Bharath Narasimha Swamy, André Seznec
article
[Research Report] RR-8830, Inria Rennes Bretagne Atlantique. 2015
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01244938/file/RR-8830.pdf BibTex

Theses

titre
Increasing the performance of superscalar processors through value prediction
auteur
Arthur Perais
article
Hardware Architecture [cs.AR]. Université de Rennes, 2015. English. ⟨NNT : 2015REN1S070⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01235370/file/PERAIS_Arthur.pdf BibTex

2014

Conference papers

titre
Reproducible and Accurate Matrix Multiplication
auteur
Roman Iakymchuk, David Defour, Caroline Collange, Stef Graillat
article
SCAN: Scientific Computing, Computer Arithmetic and Validated Numerics, Sep 2014, Wurzburg, Germany. pp.126-137, ⟨10.1007/978-3-319-31769-4_11⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01539180/file/IDCG16.pdf BibTex

2013

Journal articles

titre
Divergence Analysis
auteur
Diogo Sampaio, Rafael Martins de Souza, Caroline Collange, Fernando Magno Quintão Pereira
article
ACM Transactions on Programming Languages and Systems (TOPLAS), 2013, 35 (4), pp.13:1-13:36. ⟨10.1145/2523815⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00909072/file/Sampaio_DivergenceAnalysis_TOPLAS14.pdf BibTex
titre
Reconvergence de contrôle implicite pour les architectures SIMT
auteur
Nicolas Brunie, Caroline Collange
article
Revue des Sciences et Technologies de l’Information – Série TSI : Technique et Science Informatiques, 2013, Architecture des ordinateurs, 32 (2), pp.153-178. ⟨10.3166/TSI.32.153-178⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00787749/file/Brunie_ReconvergenceImpliciteSIMT_TSI13.pdf BibTex

 

Comments are closed.